用于形成含钴材料的工艺转让专利

申请号 : CN200780021549.7

文献号 : CN101466863B

文献日 :

基本信息:

PDF:

法律信息:

相似专利:

发明人 : S·甘古里S·S·储M·常S-H·俞K·莫赖斯S-E·潘

申请人 : 应用材料股份有限公司

摘要 :

本发明的实施例大体上提出形成硅化钴层、金属钴层和其它含钴材料的方法及设备。在一实施例中,在基板上形成含硅化钴材料的方法包括:使基板暴露于至少一预清洗处理,以暴露含硅表面;在含硅表面上沉积硅化钴材料;在硅化钴材料上沉积金属钴材料、以及在基板上沉积金属接触材料。在另一实施例中,方法包括:使基板暴露于至少一预清洗处理,以暴露含硅表面;在含硅表面上沉积硅化钴材料;使基板暴露于退火处理;在硅化钴材料上沉积阻挡材料、以及在阻挡材料上沉积金属接触材料。

权利要求 :

1.一种在基板上形成含硅化钴材料的方法,所述方法包括:将基板暴露于至少一预清洗处理,以暴露出含硅表面;

在所述含硅表面上沉积硅化钴材料,其中所述硅化钴材料在沉积处理期间沉积,所述沉积处理包含:在沉积循环使所述基板暴露于钴前体与硅前体;以及

重复进行所述沉积循环来形成包含硅化钴材料的多层,其中每个沉积循环包含:在脉冲化学气相沉积处理期间使所述基板同时暴露于所述钴前体、所述硅前体、与等离子体;或者在原子层沉积处理期间使所述基板暴露于硅前体,并使所述基板相继暴露于所述钴前体与所述等离子体;

在所述硅化钴材料上沉积金属钴材料;以及

在所述基板上沉积金属接触材料,其中所述金属接触材料包含在籽晶层上形成的块体层。

2.如权利要求1所述的方法,其特征在于,所述硅化钴材料和所述金属钴材料在同一处理室中沉积。

3.如权利要求1所述的方法,其特征在于,一阻挡材料沉积在所述金属钴材料上,而所述金属接触材料沉积在所述阻挡材料上。

4.一种在基板上形成含硅化钴材料的方法,所述方法包括:使所述基板暴露于至少一预清洗处理,以暴露出含硅表面;

在所述含硅表面上沉积硅化钴材料,其中所述硅化钴材料在沉积处理期间沉积,所述沉积处理包含:在沉积循环使所述基板暴露于钴前体与硅前体;以及

重复进行所述沉积循环来形成包含硅化钴材料的多层,其中每个沉积循环包含:在脉冲化学气相沉积处理期间使所述基板同时暴露于所述钴前体、所述硅前体、与等离子体;或者在原子层沉积处理期间使所述基板暴露于硅前体,并使所述基板相继暴露于所述钴前体与所述等离子体;

使所述基板暴露于退火处理;

在所述硅化钴材料上沉积阻挡材料;以及

在所述阻挡材料上沉积金属接触材料,其中所述金属接触材料包含在籽晶层上形成的块体层。

5.如权利要求4所述的方法,其特征在于,所述基板在所述退火处理期间在退火室内被加热到至少600℃。

6.如权利要求1或4所述的方法,其特征在于,所述硅化钴材料的硅/钴原子比例大于

0.5。

7.如权利要求6所述的方法,其特征在于,所述硅/钴原子比例在介于1至2之间的范围内。

8.如权利要求1或4所述的方法,其特征在于,所述金属接触材料包含选自由钨、铜、铝、钨或铜或铝的合金、和前述材料的组合所构成的群组的一材料。

9.如权利要求3或4所述的方法,其特征在于,所述阻挡材料包含选自由钽、氮化钽、钛、氮化钛、钨、氮化钨、钽或氮化钽或钛或氮化钛或钨或氮化钨的合金、钽或氮化钽或钛或氮化钛或钨或氮化钨的衍生物、和前述材料的组合所构成的群组的一材料。

10.如权利要求1或4所述的方法,其特征在于,所述钴前体包含选自由三羰基烯丙基钴、二羰基环戊二烯钴、二羰基甲基环戊二烯钴、二羰基乙基环戊二烯钴、二羰基五甲基环戊二烯钴、八羰基二钴、三羰基亚硝酰基钴、双环戊二烯钴、环己二烯基环戊二烯钴、1,3-己二烯基环戊二烯钴、环戊二烯基环丁二烯钴、二(甲基环戊二烯基)钴、(5-甲基环戊二烯基)环戊二烯钴、(五甲基环戊二烯基)二亚乙基钴、前述化合物的衍生物或等离子体、或前述化合物的混合物所构成的群组的化合物。

11.如权利要求10所述的方法,其特征在于,所述钴前体包含二羰基环戊二烯钴。

12.如权利要求1或4所述的方法,其特征在于,所述钴前体的化学通式为(CO)xCoyLz,其中:x为1、2、3、4、5、6、7、8、9、10、11、或12;

y为1、2、3、4、或5;

z为1、2、3、4、5、6、7、或8;以及

L为各自独立选自由环戊二烯基、戊二烯基、环丁二烯基、丁二烯基、烯丙基、烯烃、炔烃、亚硝酰基、氨基、环戊二烯基或戊二烯基或环丁二烯基或丁二烯基或烯丙基或烯烃或炔烃或亚硝酰基或氨基的衍生物、和前述化合物的混合物构成的群组的一配体。

13.如权利要求1或4所述的方法,其特征在于,所述硅前体包含选自由硅烷、二硅烷、硅烷或二硅烷的衍生物、硅烷或二硅烷的等离子体、和前述化合物的混合物所构成的群组的一化合物。

14.如权利要求1或4所述的方法,其特征在于,所述基板在所述脉冲化学气相沉积处理或所述原子层沉积处理期间被加热到至少为100℃的一温度。

15.如权利要求14所述的方法,其特征在于,所述温度为300℃至400℃。

16.如权利要求3或4所述的方法,其特征在于,在沉积所述金属钴材料或所述阻挡材料前,所述硅化钴材料暴露于等离子体处理。

17.如权利要求3或4所述的方法,其特征在于,所述基板、所述硅化钴材料、所述金属钴材料、或所述阻挡材料在预浸渍处理或后浸渍处理期间暴露于含硅还原气体。

18.如权利要求17所述的方法,更包含在所述预浸渍处理或所述后浸渍处理期间使所述基板暴露于等离子体处理。

19.一种在基板上形成含金属硅化物材料的方法,所述方法包括:使所述基板暴露于至少一预清洗处理,以暴露出含硅表面;

在化学气相沉积处理或原子层沉积处理过程中,在所述含硅表面上沉积金属硅化物材料;其中所述金属硅化物材料包含选自由铂、钯、铑、铂或钯或铑的合金、和前述化合物的混合物所构成的群组的至少一元素;

使所述基板暴露于退火处理;

在所述金属硅化物材料上沉积阻挡材料;以及

在所述阻挡材料上沉积钨接触材料,其中所述钨接触材料包含在籽晶层上形成的块体层。

20.如权利要求19所述的方法,其特征在于,所述基板、所述金属硅化物材料、或所述阻挡材料在预浸渍处理或后浸渍处理期间暴露于含硅还原气体。

21.如权利要求20所述的方法,更包含在所述预浸渍处理或所述后浸渍处理期间使所述基板暴露于等离子体处理。

22.如权利要求1、4或19所述的方法,其特征在于,所述含硅表面包含多晶硅或氧化硅。

23.如权利要求12所述的方法,其特征在于,所述配体是为烷基环戊二烯基的所述环戊二烯基,或者所述配体是为烷基戊二烯基的戊二烯基。

24.如权利要求23所述的方法,其特征在于,所述配体是为甲基环戊二烯基、五甲基环戊二烯基、甲基环戊二烯基或五甲基环戊二烯基的衍生物、和前述化合物的混合物的所述烷基环戊二烯基。

25.如权利要求12所述的方法,其特征在于,所述配体是为二烯烃、亚乙基、亚丙基、二烯烃或亚乙基或亚丙基的衍生物、和前述化合物的混合物的所述烯烃。

说明书 :

用于形成含钴材料的工艺

[0001] 发明背景发明领域
[0002] 本发明涉及半导体及其它电子器件的制造,尤其涉及在基板上沉积材料(例如含钴材料)的方法。
[0003] 现有技术描述
[0004] 近来对半导体基板上的极大规模集成(ULSI)电路的改进指出,未来一代的半导体器件将需要深亚(sub-quarter)微米的多层金属化。位于技术核心的多层互连需平坦化形成在高深宽比开孔中的互连特征结构,包括触点(contact)、通孔(via)、接线、和其它特征结构。随着特征结构尺寸缩小至0.13微米以下,确实形成这些互连特征结构就ULSI成效及持续提高各基板与管芯上的电路密度与质量而言是很重要的。
[0005] ULSI电路包括金氧半导体(MOS)器件,诸如互补式金氧半导体(CMOS)场效应晶体管(FET)。晶体管可包括置在源极与漏极间的半导体栅极。在形成集成电路结构时,特别是形成利用多晶硅栅电极的MOS器件时,已常在多晶硅栅电极上、和硅基板的源极与漏极上设置金属硅化物层,以便于降低阻抗,并藉由将源极与漏极电气连接至金属互连而增进器件性能。
[0006] 目前用于CMOS处理技术的重要处理技术之一为耐火金属(诸如钛和钴)的自对准硅化(Self-Aligned Silicidation)处理。以钴的自对准硅化处理为例,藉由形成高传导性的覆盖层可降低源极与漏极和多晶硅栅极阻抗,且藉由用后续形成的金属互连增加源极与漏极的有效接触面积可降低接触阻抗。自对准硅化处理技术试图利用一原理,即沉积在图案化硅基板的耐火金属(例如钴)将选择性地与特定处理条件下暴露出的硅反应,而不与邻近材料(例如氧化硅材料)反应。
[0007] 例如,钴层被溅镀至一般在基板表面形成图案的硅上,接着进行热退火处理而形成硅化钴。随后可选择性蚀刻移除如沉积在图案化硅外面或氧化硅保护层上的未反应钴。选择性蚀刻硅化钴将在基板表面的源极、漏极和多晶硅栅极区无掩模地自对准形成低阻抗的耐火金属硅化物,并互连半导体器件的导体。蚀刻处理之后,将进一步处理基板,例如附加热退火处理,以进一步降低硅化物材料的片阻抗及充分形成硅化钴。
[0008] 然而,将硅化钴处理纳入传统制造设备很困难。目前执行硅化钴处理的处理系统需传送基板至各个处理室,以进行沉积和退火处理步骤。在各处理室间传送基板,可能会使基板接触污染物且可能会氧化沉积在基板表面的硅或钴。
[0009] 基板表面形成氧化物将增大硅化物层的阻抗并降低整个电路的可靠度。例如,沉积的钴材料的氧化会造成钴结块和硅化钴层不规则生长。硅化钴层的结块和不规则生长将导致器件变形,如源极与漏极具有不同的厚度和表面积。此外,基板表面生长过多的硅化钴会构成器件间的传导途径,因而造成短路或器件失效。
[0010] 限制钴与硅污染物的一解决方法为在处理系统间传送基板之前,将钛及/或氮化钛覆盖层溅镀在钴层与硅层上。接着在退火处理基板后且在进一步处理基板前,移除覆盖层。但添加钛与氮化钛沉积和移除处理会增加形成硅化物所需的处理步骤数,因而降低处理效率、增加处理复杂度及减少基板产率。
[0011] ULSI电路尚包括在导体层间形成互连或触点,例如上述的硅化钴层和铜特征结构。互连或触点一般包含形成在介电材料(如氧化硅)中的特征结构(feature
definition)、沉积在特征结构上的阻挡层、和填入或塞入特征结构的金属层。钛膜与氮化钛膜已用作为金属层(如钨)的阻挡层材料,且这些膜的沉积方法一般是采用物理气相沉积技术。然而,将钛沉积至硅表面会产生硅化钛形成的问题。
[0012] 已发现硅化钛会结块而不利地影响后续沉积材料。另外随着特征结构的尺寸缩小到0.17微米以下,硅化钛的片阻抗将急剧增加,如此将影响所形成的特征结构的传导性。再者,在以400℃或更高温度处理基板时硅化钛的热稳定性不足,以致层间扩散并恶化器件性能。
[0013] 此外,相较于如钨等材料的化学气相沉积(CVD)(其可在高达300托的压力下进行),钛与氮化钛的PVD沉积通常是在极低处理压力下进行,即小于约5×10-3托。因此难以将PVD处理与CVD处理纳入同一系统。故许多制造业者使用单独的系统来进行钛与氮化钛的PVD沉积和钨的CVD沉积。然而这将增加系统的数量、提高制造成本、延长制造时间,并且在各系统间传送基板时,基板会接触到污染物。
[0014] 因此需要在基板上形成阻挡层与硅化物材料的方法及设备,其可降低处理复杂度并增进处理效率与产率。

发明内容

[0015] 本发明的实施例大体上提出利用沉积处理、退火处理、或其组合处理来形成硅化钴层、金属钴层和其它含钴层的方法及设备。在一实施例中,提供了将含硅化钴材料形成在基板上的方法,包括:使基板暴露于至少一预清洗处理,以露出含硅表面;在含硅表面上沉积硅化钴材料;在硅化钴材料上沉积金属钴材料;以及在基板上沉积金属接触材料。在另一实施例中,提供了在基板上形成含硅化钴材料的方法,包括:使基板暴露于至少一预清洗处理,以露出含硅表面;在含硅表面上沉积硅化钴材料、使基板暴露于退火处理、在硅化钴材料上沉积阻挡材料、以及在阻挡材料上沉积金属接触材料。
[0016] 硅化钴材料可藉由在化学气相沉积处理或原子层沉积处理期间使基板暴露于钴前体与硅前体沉积。硅化钴材料的硅/钴原子比例可大于0.5,例如介于约1至2之间。金属接触材料可包含钨(W)、铜(Cu)、铝(A1)、其合金、或其混合物。在一实施例中,金属接触材料的沉积包括形成籽晶层及在其上形成块体层。籽晶层和块体层各自可包含钨。在其它实施例中,阻挡材料可沉积在金属钴材料上,而金属接触材料可沉积在阻挡材料上。阻挡材料可包含钴、钽、氮化钽、钛、氮化钛、钨、氮化钨、其合金、或其衍生物。
[0017] 在另一实施例中,钴前体可为三羰基烯丙基钴(tricarbonyl allylcobalt)、二羰 基 环 戊 二 烯 钴(cyclopentadienyl cobalt bis(carbonyl))、二 羰基 甲 基 环 戊 二 烯 钴(methylcyclopentadienyl cobalt bis(carbonyl))、二 羰基 乙 基 环 戊 二 烯 钴(ethylcyclopentadienyl cobalt bis(carbonyl))、二 羰 基戊 甲 基 环 戊 二 烯 钴 (pentmethylcyclopentadienyl cobalt bis(carbonyl))、八羰 基二钴(dicobaltocta(carbonyl))、三羰 基亚硝 酰基 钴(nitrosyl cobalt tris(carbonyl))、双 环 戊 二 烯钴 (bis(cyclopentadienyl)cobalt)、环 己 二 烯 基环 戊 二 烯 钴 ((cyclopentadienyl)cobalt(cyclohexadienyl))、1,3- 己 二 烯 基环戊二烯 钴(cyclopentadienyl cobalt(1,3-hexadienyl))、环戊二 烯基环丁 二烯 钴 ((cyclobutadienyl)cobalt(cyclopentadienyl))、二 (甲 基 环 戊 二 烯 基 )钴(bis(methylcyclopentadienyl)cobalt)、(5-甲 基 环 戊 二 烯 基)环 戊 二 烯 钴((cyclopentadienyl)cobalt(5-methylcyclopentadienyl))、(五甲基环戊二烯基)二亚乙基钴(bis(ethylene)cobalt(pentamethylcyclopentadienyl))、其衍生物、其络合物、其等离子体、或其混合物。在一实施例中,钴前体为二羰基环戊二烯钴。在其它实施例中,钴前体的化学通式为(CO)xCoyLz,其中x为1、2、3、4、5、6、7、8、9、10、11、或12;y为1、2、3、4、或5;z为1、2、3、4、5、6、7、或8;L为各自独立选自由环戊二烯基、烷基环戊二烯基、甲基环戊二烯基、五甲基环戊二烯基、戊二烯基、烷基戊二烯基、环丁二烯基、丁二烯基、烯丙基、亚乙基、亚丙基、烯烃、二烯烃、炔烃、亚硝酰基、氨基、其衍生物、或其混合物构成的群组的配体(ligand)。硅前体可为硅烷、二硅烷、其衍生物、其等离子体、或其混合物。
[0018] 在又一实施例中,基板在化学气相沉积处理或原子层沉积处理期间被加热到至少100℃,较佳为约300℃至约400℃。基板在退火处理期间可在退火室内加热到至少约600℃。在沉积金属钴材料前,可使硅化钴材料先暴露于等离子体处理。在其它实施例中,等离子体处理可包含氢气,且等离子体可以约13.56MHz的射频(RF)点燃。
[0019] 在再一实施例中,硅化钴材料可在原子层沉积处理期间沉积,其藉由进行沉积循环来沉积硅化钴层,并重复进行此沉积循环来形成多个硅化钴层,且沉积循环包含使基板暴露于含有硅前体的含硅还原气体,随后使基板暴露于钴前体与等离子体(如氢等离子体)。在一些实施例中,基板、硅化钴材料、金属钴材料、或阻挡材料可在预浸渍(pre-soak)处理或后浸渍(post-soak)处理期间暴露于含硅还原气体。基板可在预浸渍处理或后浸渍处理期间暴露于等离子体处理。在一些实施例中,硅化钴材料和金属钴材料可在同一处理室中沉积。
[0020] 在另一实施例中,提供了在基板上形成含金属硅化物材料的方法,包括:使基板暴露于至少一预清洗处理,以露出含硅表面;在化学气相沉积处理或原子层沉积处理的过程中,在含硅表面上沉积金属硅化物材料;使基板暴露于退火处理;在金属硅化物材料上沉积阻挡材料、以及在阻挡材料上沉积钨接触材料。金属硅化物材料至少包含钴、镍、铂、钯、铑、其合金、或其混合物其中之一。在一些实施例中,基板、金属硅化物材料、或阻挡材料可在预浸渍处理或后浸渍处理期间暴露于含硅还原气体。在一些实施例中,基板可在预浸渍处理或后浸渍处理期间暴露于等离子体处理。
[0021] 在又一实施例中,硅化钴层可在气相沉积处理期间沉积到含硅的基板表面,而金属钴层可利用另一气相沉积处理沉积其上。在一方面中,硅化钴层可藉由在CVD处理期间一起流入钴前体与硅前体沉积。其次,停止使硅前体流入CVD室内,但仍持续流入钴前体,藉以将金属钴层沉积在硅化钴层上。还原剂(如氢气)可随钴前体一起流入。或者,钴前体可在CVD处理期间通过热分解处理或等离子体处理还原。
[0022] 在再一实施例中,在含硅的基板表面上沉积金属钴层,且使基板暴露于退火处理,以利用自对准硅化处理(salicide process)形成硅化钴层,而第二金属钴层沉积其上。
[0023] 在此所述的实施例可使基板暴露于至少一预清洗处理。在一示例中,预清洗处理包括使基板暴露于含氩等离子体的预洗气体,如Ar+预清洗处理。在另一示例中,预清洗处理包括对基板进行等离子体蚀刻处理,以在等离子体蚀刻处理室(如从美国加州圣克拉拉TM市的应用材料公司(Applied Materials,Inc.)取得的SICONI 预清洗处理)内使用氨气(NH3)与三氟化氮(NF3)混合气体来移除基板表面的固有氧化物。在又一示例中,可使基板暴露于湿式清洗处理,例如缓冲氧化物蚀刻(BOE)处理、SC1处理、SC2处理、或HF持续处理。
[0024] 在一实施例中,硅化钴材料可在原子层沉积(ALD)处理或CVD处理期间沉积到基板上,而金属钴材料可在另一ALD处理或另一CVD处理期间沉积到硅化钴材料上。可在沉积室内或退火室内使基板暴露于退火处理。金属接触材料(如W、Cu、Al或其合金)被沉积至基板上,且可使基板暴露于平坦化处理。金属接触材料可在单次沉积处理或数次沉积处理中沉积,例如形成籽晶层、块体层、填充层、或其混合物。在另一实施例中,阻挡层可在沉积金属接触材料前沉积到金属钴材料上。
[0025] 在一示例中,硅化钴材料和金属钴材料在同一ALD室或CVD室沉积。在另一示例中,沉积硅化钴材料和金属钴材料、及退火处理基板在同一ALD室或CVD室进行。在又一示例中,沉积硅化钴材料和金属钴材料在同一ALD室或CVD室进行,退火处理基板在退火室进行。在再一示例中,沉积硅化钴材料和金属钴材料在不同的ALD室或CVD室进行,退火处理基板在退火室进行。在另一示例中,硅化钴材料在ALD室或CVD室沉积,基板在退火室退火处理,金属钴材料在另一ALD室或CVD室沉积。在又一示例中,硅化钴材料在ALD室或CVD室沉积,金属钴材料在另一ALD室或CVD室沉积,基板在退火室退火处理。
[0026] 在其它实施例中,硅化钴材料和金属钴材料在同一ALD室或CVD室沉积,金属接触材料沉积在金属钴材料上,且使基板暴露于平坦化处理,并在退火室退火处理基板。在另一示例中,硅化钴材料和金属钴材料在同一ALD室或CVD室沉积,金属接触材料沉积在金属钴材料上,且在退火室退火处理基板,并使基板暴露于平坦化处理。
[0027] 在另一实施例中,第一金属钴材料在ALD室或CVD室内沉积到基板的含硅表面。基板在ALD室或CVD室中暴露于退火处理,以利用自对准硅化处理形成硅化钴材料。接着,第二金属钴材料在不同的ALD室或CVD室内沉积到硅化钴材料上。
[0028] 附图简述
[0029] 为使本发明的上述特征更明显易懂,本发明的更具体描述可参考实施例进行,其一部分在附图中示出。然而,要注意的是,虽然所附附图公开了公开本发明特定实施例,但其并非用以限定本发明的精神与范围,任何本领域技术人员可作出其它等效实施例。
[0030] 图1为根据在此所述实施例之一集成式多室设备的示意俯俯视图;
[0031] 图2为根据在此所述实施例的另一集成式多室设备的示意俯俯视图;
[0032] 图3为本发明一实施例的溅镀室的截面图;
[0033] 图4为图3的展开图,其包括靶附近的屏蔽的上部区域;
[0034] 图5为环形准直仪的一个实施例的平面图;
[0035] 图6为蜂巢状准直仪的一个实施例的局部平面图;
[0036] 图7A为用于退火处理基板的一基座实施例的截面图;
[0037] 图7B为用于退火处理基板的另一基座实施例的截面图;
[0038] 图8A-8C为根据在此所述实施例的基板在不同制造阶段的示意图;
[0039] 图9为根据在此所述实施例的另一基板的示意截面图,其包含用作晶体管触点的硅化物材料;
[0040] 图10为根据在此所述实施例的一集成化处理的流程图;
[0041] 图11为根据在此所述实施例的另一集成化处理的流程图;
[0042] 图12为根据在此所述实施例的又集成化处理的流程图;
[0043] 图13为根据在此所述实施例的再集成化处理的流程图;
[0044] 图14为根据在此所述实施例的另一集成化处理的流程图;
[0045] 图15为根据在此所述实施例的又集成化处理的流程图;
[0046] 图16为根据在此所述实施例的再集成化处理的流程图;
[0047] 图17A-17I为根据在此所述实施例的基板在不同制造阶段的截面图;
[0048] 图18为根据在此所述实施例的一集成式多室设备的俯视图;
[0049] 图19为根据在此所述实施例之一集成化处理的流程图;
[0050] 图20为根据在此所述实施例的另一集成化处理的流程图;
[0051] 图21为根据在此所述实施例的又集成化处理的流程图;
[0052] 图22为根据在此所述实施例的硅化钴沉积处理的流程图;
[0053] 图23为根据在此所述实施例用在硅化钴沉积处理的化学前体的时序图;
[0054] 图24为根据在此所述实施例的另一集成化处理的流程图;
[0055] 图25A-25B为根据在此所述实施例的基板在硅化钴沉积处理中不同阶段的截面图;以及
[0056] 图26为根据在此所述实施例的另一集成化处理的流程图。
[0057] 实施方式
[0058] 本文中所述的本发明实施例提出在沉积室内形成硅化钴材料、金属钴材料和其它含钴材料的方法及设备。用来在基板上沉积与形成材料的处理系统可包含至少一预洗室、至少一沉积室、和至少一退火室。系统一般包含至少一CVD室及/或至少一ALD室。预清洗处理期间可露出基板的含硅表面。其次在一实施例中,在基板上沉积硅化钴材料、沉积金属钴材料、沉积可任选阻挡层、及沉积金属接触材料。在任一沉积处理之前、期间或之后、及在平坦化处理之后,基板暴露于至少一退火处理。
[0059] 图1绘示集成式多室基板处理系统,其适于执行在此所述的至少一沉积与退火处理实施例。沉积与退火处理可在多室处理系统或具有至少一ALD室、至少一CVD室、至少一PVD室、或至少一退火室的群集式工具(cluster tool)中进行。可在本发明使用的处理系统例如为美国加州圣克拉拉市的应用材料公司市场上可购买的的 处理平台。
[0060] 图1为处理平台系统35的一个实施例的俯视图,包括:两个传输室48、50、分别置于传输室48、50的传输机械手49、51、和多个位于二传输室48、50上的处理室36、38、40、41、42、43。通行室52分隔开第一传输室48与第二传输室50,其可包含冷却室或预热室。
当第一传输室48与第二传输室50在不同压力下操作时,可在搬运基板期间抽气或通气至通行室52。例如,第一传输室48在介于约100毫托至约5托(如约400毫托)的压力下
操作,第二传输室50则在介于约1×10-5托至约1×10-8托(如约1×10-7托)的压力下操
作。处理平台系统35可藉由程序化微处理控制器54而自动化。
[0061] 第一传输室48耦合到两个除气室44、两个负载锁定(load lock)室46、反应性预洗室42、和处理室36,例如ALD处理室或物理气相沉积(PVD)处理室,较佳为长程(long throw)PVD室与通行室52。预洗室42可为美国加州圣克拉拉市的应用材料公司市场上可购买的的PreClean II处理室。基板(未绘示)经由负载锁定室46装载至处理平台系统35。随后,基板分别在除气室44及预洗室42内依序进行除气及清洗。传输机械手49在除气室44与预洗室42之间移动基板。基板接着可被传送到处理室36,例如ALD室或长程PVD室,以将材料沉积在其上。
[0062] 第二传输室50耦合到处理室38、40、41、43的群集。在一实施例中,处理室38、40可为ALD室,用以沉积如硅化钴、金属钴、或钨等操作员所需的材料。在另一实施例中,处理室38、40可为CVD室,用以沉积如钨等操作员所需的材料。适合的CVD室例子包括美国加TM州圣克拉拉市的应用材料公司市场上可购买的的WXZ 处理室。CVD室可利用ALD技术及传统CVD技术沉积材料。处理室41、43可为快速热退火(RTA)室或快速热处理(RTP)室,其可在低压或极低压力下退火处理基板。RTA室的一示例为美国加州圣克拉拉市的应用材料公司市场上可购买的的 处理室。或者,处理室41、43可为能进行高温CVD沉
TM
积处理、退火处理、或原位(in situ)沉积与退火处理的WXZ 处理室。经PVD处理的基板从传输室48经由通行室52移至传输室50。然后,传输机械手51在一个或多个处理室38、
40、41、43之间移动基板,以进行处理所需的沉积与退火处理。
[0063] RTA室(未示出)还可设在处理平台系统35的第一传输室48上,以在基板移出处理平台系统35或传送到第二传输室50前,进行沉积后退火处理(postdeposition annealing process)。
[0064] 尽管未示出,多个真空泵亦可设置成与各传输室和各处理室为流体连通,以独立调节相应各室的压力。泵可在整个设备中建立自负载锁定室至处理室的升压真空梯度。
[0065] 或者,等离子体蚀刻室(如美国加州圣克拉拉市的应用材料公司制造的 (去耦合等离子体源)处理室)可耦合至处理平台系统35或位于独立的处理系统,以在沉积PVD金属及/或退火处理沉积金属后,蚀刻基板表面来移除未反应的金属。例如在通过退火处理以从钴材料与硅材料形成硅化钴的过程中,蚀刻室可用来移除基板表面上未反应的钴材料。本发明还包含采用其它蚀刻处理及设备,例如结合在在此所述的处理及设备中使用的湿式蚀刻室。
[0066] 图2为另一集成式多室基板处理系统35的俯视图,其适于执行在此所述的至少一ALD、CVD、PVD、或退火处理实施例。在一实施例中,第一传输室48耦合至处理室38、40、41、43两个二负载锁定室46、和通行室52的群集。处理室41、43可为RTA室,其可在低压或极低压力下退火处理基板,例如 处理室;处理室38、40可为ALD室或CVD室,例
如WXZTM处理室。第一传输室48可在介于约1×10-5托至约1×10-8托(如约1×10-7托)
的压力下操作,第二传输室50可在介于约100毫托至约5托(如约400毫托)的压力下操作。
[0067] 或者,处理室41、43可为能进行高温CVD沉积处理、退火处理、或原位沉积与退火处理的WXZTM处理室。通行室52除具有加热、冷却与传输功能外,还可执行除气功能。
[0068] 第二传输室50耦合反应性预洗室42、一个或多个长程PVD处理室36、和通行室52。第二传输室50可用来预清洗基板(如利用等离子体清洗法),且在基板传送至压力较高的传输室48前,在1×10-8托的真空压力下进行PVD。第一传输室48可配置成使压力较PVD处理高的处理(如退火处理)在移出基板前在负载锁定室46旁的传输室进行。相较于-5 -8
使用压力近乎真空(如介于约1×10 托至约1×10 托)的第一传输室48的处理平台系
统35配置,本实施例中压力较高的第一传输室48可减少抽气次数与降低设备成本。
[0069] 图3示出一长程PVD室36实施例。适合的长程PVD室的例子为 Plus处理室和SIP PVD处理室,其均可从美国加州圣克拉拉市的应用材料公司取得。
[0070] 长程PVD室36一般包含溅镀源(如靶142)和基板支撑基座152,用以将半导体基板154容纳于其上,且位于接地的封闭侧壁150内,侧壁150可为图示的室壁或接地屏蔽。
[0071] 处理室36包括O形环(未示出)所支撑且透过介电绝缘体146密封至接地导体铝转接件144的靶142。靶142包含在溅镀期间要沉积至基板154表面的材料,且可包括用来形成金属硅化物层的钴、硅化钴、钌、铑、钛、钽、钨、钼、铂、镍、铁、铌、钯、其合金、其混合物。例如,元素钴、硅化钴、钴镍合金、钴钨合金、钴镍钨合金、掺有杂质的钴镍合金、或镍铁合金可通过在处理室内使用合金靶或多个靶沉积。靶142还可包括金属表层与更具使用性的金属背板的键合复合物。
[0072] 基座152支撑要溅镀涂覆且位于靶142主面对面的基板154。基板支撑基座152具有基板承接平面,其通常平行于靶142的溅镀面。基座152可藉由连接底部室壁160的波纹管158而垂直移动,以通过处理室36下部的负载锁定阀(未示出)将基板154传送到基座152上,接着将基板154抬高至沉积位置。气源162的处理气体经由流量控制器164供应至处理室的36下部。
[0073] 耦合到处理室36的可控制直流(DC)电源148可用来将负电压或偏压施加于靶142。RF电源供应器156可连接基座152,以在基板154上感生负DC自偏压;但在其它应用中,基座152为接地或保持电气浮置(floating)。
[0074] 可旋转的磁电管170位于靶142背面,并包括由基板174支撑的多个马蹄形磁铁172,基板174连接到与处理室36和基板154的中心轴重迭的转轴176。马蹄形磁铁172排列成典型为肾形的封闭图案。磁铁172在处理室36中产生的磁场一般平行且靠近靶142的正面,以陷获电子及提高局部等离子体密度,进而加快溅镀速率。磁铁172在处理室36顶部附近产生电磁场,且磁铁172可旋转来转动影响处理等离子体密度的电磁场,以更均匀地溅镀靶142。
[0075] 如图4的分解截面图所示,本发明的处理室36包括接地的下屏蔽180,其具有支撑且电气连接至转接件144的突出部184的上凸缘182。暗区屏蔽(darkspace shield)186支托在下屏蔽180的凸缘182上,而固定件(未示出)诸如凹设于暗区屏蔽186上表面的螺栓将其与凸缘182固定于转接件突出部184,其中突出部184具有容纳螺栓的栓孔。此金属螺纹连接件可使两个屏蔽180、186接地至转接件144。转接件144接着密封且接地于铝处理室侧壁150。两个屏蔽180、186一般皆由坚硬的非磁性不锈钢构成。
[0076] 暗区屏蔽186具有密合靶142的环形侧凹处的上部,且暗区屏蔽186与靶142间具有狭窄的间隙188,其足够窄而可防止等离子体穿透,故可保护介电绝缘体146不被溅镀涂覆上金属层,而不会导致靶142短路。暗区屏蔽186还包括向下突出的尖端190,其可避免溅镀沉积的金属接合下屏蔽180与暗区屏蔽186间的界面。
[0077] 再看图3的总览,下屏蔽180向下延伸成具第一直径且大体为管状的上部194和具较小的第二直径且大体为管状的下部196,以大致沿着转接件144壁面和处理室侧壁150延伸至基座152顶面下方。其亦具有碗状底部,包括径向延伸的底部198和恰位于基座152外且向上延伸的内部100。当基座152处于较低的装载位置时,遮盖环102置放在下屏蔽180中向上延伸的内部100上;当基座152处于较高的沉积位置时,遮盖环102则置放在基座152外围,以保护基座152免于溅镀沉积。附加沉积环(未示出)可用来遮蔽基板154外围以免沉积。
[0078] 处理室36亦可适以提供材料至基板上的更定向溅镀。在一方面中,实现定向溅镀可通过将准直仪110置于靶142与基板支撑基座152之间,以在基板154上提供更均匀且对称的沉积材料通量。
[0079] 诸如接地环形准直仪的金属环形准直仪110置放在下屏蔽180的突出部106上,由此使准直仪110接地。环形准直仪110包括外管区段和至少一内同心管区段,例如3个由十字杆118、120链接的同心管区段112、114、116(如图5所示)。外管区段116置放在下屏蔽180的突出部106上。利用下屏蔽180支撑准直仪110可简化处理室36的设计与维护。至少两个内管区段112、114具有足够的高度来限定部分准直所溅镀粒子的高深宽比开孔。再者,准直仪110的上表面作为相对于偏压靶142的接地面,尤其是保持等离子体电子远离基板154。
[0080] 另一种用于本发明的准直仪为蜂巢状准直仪124,其部分例示于图6的平面视图中,其所包括的网状结构具有六角形壁面126以分隔开紧密堆叠排列的六角形开孔128。蜂巢状准直仪124的优点之一在于,准直仪124的厚度可按需从准直仪124的中心到周边变化,通常为凸状变化,由此开孔128的深宽比同样横跨准直仪124改变。准直仪可具有一个或多个凸状侧边。藉此可调整基板各处的溅镀通量密度,并提高沉积的均匀度。可用于PVD室的准直仪在美国专利No.5,650,052中描述,其结合于此以供参考且不与本发明的各方面与后附权利要求相悖。
[0081] 一基板支撑基座152实施例在图7A中示出。基板支撑基座152适用于高温高真空退火处理。一般而言,基板支撑基座152包括加热部210,而加热部210置于耦合到轴杆245的基底240上。
[0082] 加热部210一般包括置于导热材料220内的加热元件250和基板支撑面275。导热材料220可为任一在工作温度下具有足够导热性的材料,以充分传导加热元件250与基板支撑面275间的热量。导热材料的一示例为钢。基板支撑面275可包括介电材料,且一般包括实质上水平的容纳面,用以将基板154置于其上。
[0083] 加热元件250可为阻抗式加热元件,例如具有引线埋设在导热材料220内的导电电线,并可用来完成电路,藉此电流可穿过导热材料220。加热元件250的例子包括置于导热材料220中的分立加热线圈。电线连接诸如电压源的电源(未示出)与电阻加热线圈的端部,以供应足以加热线圈的能量。线圈可为任一覆盖基板支撑基座152区域的形状。若有需要,可使用一个以上的线圈来提供额外加热的能力。
[0084] 流体通道290可耦合至加热部210的表面226,以加热或冷却基板支撑基座152。流体通道290可包括同心环或一系列环(未示出)、或其它预期结构,且具有流体入口与出口,用以循环来自远程流体源294的液体。流体通道290可通过在基板支撑基座152的轴杆245中形成的流体通路292连接至流体源294。基板支撑基座152的实施例包括耦合到电源296的加热元件250和通过流经流体通路292(其连接流体源294)的热媒介(即液体热交换器)冷却的流体通道290,其通常可实现对基板支撑面275的温度控制。
[0085] 诸如热电偶的温度传感器260可附连或埋置于基板支撑基座152(例如加热部210附近),以藉由传统方式监测温度。例如,测得的温度可用于反馈回路,以控制从电源296施加至加热元件250的电流,由此可维持或控制基板温度达预期温度或落在预期温度范围内。控制单元(未示出)可用来接收温度传感器260的信号,并作为响应控制加热电源296或流体源294。
[0086] 加热与冷却器件的电源296和流体源294一般位于处理室36外。包括流体通路292的设施通道沿着基板支撑基座152的基底240和轴杆245轴向设置。保护柔性鞘295设置在轴杆245附近,且自基板支撑基座152延伸至室壁(未示出),以免污染基板支撑基座152与处理室36内部之间的空间。
[0087] 基板支撑基座152更可包含流体连通加热部210的基板支撑面275与背面气体源(未示出)的气体通道(未示出)。气体通道在加热部210与基板154间限定了热传气体或防护气体的背面气体通道。
[0088] 图7B示出基板支撑基座152的另一实施例,具有安装或构成基板支撑基座152的加热部210的静电夹具。加热部210包括电极230和涂有介电材料235的基板支撑面275。导电电线(未示出)耦合电极230和电压源(未示出)。基板154可放置成与介电材料235接触,直流电压可置于电极230上,以产生静电吸力来夹持基板。
[0089] 电极230一般置于导热材料220中且相距其内的加热元件250一定距离。加热元件250一般以与导热材料220中的电极230在垂直方向上有间距且平行的方式设置。电极通常设置在加热元件250与基板支撑面275之间,但也可采用其它配置。
[0090] 上述基板支撑基座152的实施例可用于在高真空退火室中支撑基板。高真空退火室可包括置于PVD室的基板支撑基座152(例如在此所述的长程处理室36),其中具有或不具空靶,且不含耦合至靶或基板支撑基座的偏压。
[0091] 上述基板支撑基座152的实施例仅为说明之用,而非用以限定本发明的精神与范TM围。例如,适用于支撑基座的静电夹具的例子包括MCA 静电电子夹具(E-chuck)或热解氮化硼静电电子夹具,其均可从美国加州圣克拉拉市的应用材料公司购得。
[0092] 虽然本文所述的基板支撑基座152的实施例可用于退火处理基板,但诸如快速热退火(RTA)室等其它市场上可购买的退火室亦可用来退火处理基板而形成硅化物膜。本发明包含采用各种热退火室设计,包括热板设计与加热灯设计,以增进电镀效果。可用于本发TM明的一特定热退火室为可从美国加州圣克拉拉市的应用材料公司购得的WXZ 处理室。可用于本发明的一特定热板热退火室为可从美国加州圣克拉拉市的应用材料公司购得的RTP XEplus 热处理室。一特殊照灯退火室为可从美国加州圣克拉拉市的应用材料
公司购得的 热处理室。
[0093] 参照图1及2,处理室36、38、40、41、42、43分别受控于微处理控制器54。微处理控制器54可为任一形式的通用计算机处理器(CPU),其可用于控制处理室及子处理器的工业设置。计算机可使用任一适合的存储器,例如随机存取存储器、只读存储器、软盘驱动器、硬盘驱动器、或其它本地或远程的数字存储器。各种支持电路可耦合到CPU,并以传统方式支持处理器。所需的软件例程可储存在存储器、或由远程设置的第二CPU执行。
[0094] 在基板154置于基座152之上后,执行处理程序例程。在执行时,软件例程将通用计算机转换成特定处理计算机,用以控制处理室运作并进行处理室处理。或者,软件例程可用像专用集成电路或其它类型的硬件实现的硬件执行、或软件与硬件的组合来执行。
[0095] 在操作时,基板154被放置到基板支撑基座152上,且在处理室36中产生等离子体。靶142与基板154至少相距约90毫米的长程距离。就200毫米的基板而言,基板支撑基座152与靶142相距介于约100毫米至约300毫米的距离。就300毫米的基板而言,基板支撑基座152与靶142相距介于约150毫米至约400毫米。基板154与靶142的间距大于基板直径的50%将被视为长程处理室。
[0096] 溅镀处理藉由将通常为约0伏特(V)至约2400V的负电压施加至靶142,以激发气体变成等离子体态来执行。直流(DC)电源148或其它电源可用来将例如约0V至约700V的负偏压施加至基板支撑基座152。来自等离子体的离子轰击靶142而使原子与较大粒子溅至下方的基板154上。尽管所提供电源在此以电压表示,但是电源也可用功率单位(如千瓦)或功率密度单位(如瓦/平方厘米)表示。施加给处理室36的电量可取决于溅镀量和要处理的基板154的尺寸而变化。
[0097] 用于溅镀处理的处理气体经由质流控制器164引入处理室36。处理气体包括非反应性或惰性种类,例如氩气、氙气、氦气、或其组合。以溅镀钴为例,通过处理室下部的抽-6气口168连接的真空抽吸系统166用来将处理室36的底压维持为小于约1×10 托(如约
-8
1×10 托),但处理室36内的处理压力通常维持为0.2毫托至2毫托之间,且较佳小于1毫托。
[0098] 在操作时,基板154被放置到基板支撑基座152上,且在含有或不含背面气体源272的情况下,利用加热元件250将基板154加热到预期处理温度,充分退火处理基板154以取得预期退火效果,接着移出处理室36。基板支撑基座152的加热元件250可从室温加热基板154(即约20℃至约900℃),而流体通道290可将基板154冷却到约0℃。加热元件250与流体通道290的组合一般用来将基板154的温度控制为介于约10℃至约900℃之间,其视基板支撑基座152的材料性质和处理室36内的基板的处理参数而定。
[0099] 金属及金属硅化物阻挡层沉积处理
[0100] 在此公开的处理实施例涉及对特征结构沉积金属与硅化钴阻挡层。在一实施例中,金属钴层沉积在含硅材料上且经退火处理形成硅化钴层。第二金属钴层沉积在硅化钴层上。至少一金属接触材料接着沉积以填入特征结构。用于形成金属硅化物层的退火处理可以分多个退火步骤进行。第一金属层沉积步骤、第二金属层沉积步骤、和任一所需的退火步骤较佳地在不破坏真空的状态下在真空处理系统中进行。
[0101] 在一实施例中,硅化钴层沉积在含硅材料上。金属钴层沉积在硅化钴层上。接着,至少一金属接触材料沉积填入特征结构。在各沉积处理之前、期间或之后,可进行退火处理,且较佳地在不破坏真空的状态下在真空处理系统中进行。
[0102] 第一退火步骤可在与沉积第一金属层用的退火室相同的处理室(例如真空退火室)中进行、或在沉积后续材料期间(例如第二金属层的CVD期间)进行。第二退火步骤可在沉积第二金属层之前或之后进行。第二退火步骤的退火温度通常高于第一退火步骤。
[0103] 较佳地,金属硅化物层可在原位(in situ)形成,例如在利用CVD技术沉积金属层之前或同时,且在不破坏真空的状态下在沉积室或处理系统形成。「原位」在此泛指在不破坏真空的状态下(如打开处理室)在同一处理室或同一处理系统中、或传送到个别的设备或系统中进行二个以上的处理。
[0104] 例如,原位退火可在与金属沉积相同的处理室中进行;原位沉积可在邻接沉积室的处理室中进行;两个处理室皆被耦合到传输室,且在进行处理时不会破坏传输室的真空。
[0105] 在另一示例中,原位处理可在不同处理压力下在同一处理系统中进行,例如在不破坏处理平台系统35的真空状态下,在分别位于处理平台系统35的第一、第二传输室48、50上的处理室与退火室中处理基板、或将基板传送至另一处理系统。
[0106] 虽然以下材料描述由钴或镍层薄膜形成金属硅化物层,但本发明亦可预期采用其它材料,包括钛、钽、钨、钼、铂、铁、铌、钯、和其混合物、及其它合金(包括钴镍合金、钴钨合金、钴镍钨合金、掺有杂质的钴镍合金、或镍铁合金),以形成本文所述的金属硅化物材料。
[0107] 反应性预清洗处理
[0108] 在将金属沉积到基板上之前,可清洗基板154的表面来移除诸如形成于露出表面的氧化物污染物。清洗处理可通过湿法蚀刻处理,例如暴露于氢氟酸溶液、或等离子体清洗处理,例如暴露于惰性气体、还原气体(如氢气或氨气)、或其组合的等离子体进行。清洗处理还可在各个处理步骤间进行,以最小化基板表面在处理时产生的污染物。
[0109] 等离子体清洗处理可在PreClean II处理室和RPC+处理室进行,其均可从美国加州圣克拉拉市的应用材料公司购得。在一方面中,反应性预清洗处理形成一种或多种气体等离子体的自由基,这些气体诸如氩气、氦气、氢气、氮气、含氟化合物、和其混合物。例如,预清洗气体可包括四氟化碳(CF4)与氧气(O2)的混合物、或氦气与三氟化氮(NF3)的混合物。在一较佳实施例中,预洗气体为氩等离子体。在另一实施例中,预洗气体包含氢等离子体。在又一实施例中,预清洗气体包含氦气与三氟化氮的混合物。
[0110] 等离子体一般藉由施加约500瓦至约2000瓦的RF功率且在约200kHz至约114MHz的RF频率而产生。就200毫米的基板而言,氦气流量可为约100sccm至约500sccm,三氟化氮流量通常为约100sccm至约500sccm。等离子体处理持续进行约10秒至约150秒。较佳地,等离子体在一个或多个处理循环期间产生,且在各循环间净化排除。例如,4个各自持续约35秒的处理循环是有效的。
[0111] 在另一方面中,可先使用氩等离子体、再使用氢等离子体来预清洗基板154。含有50原子%以上的氩的第一预清洗气体以约0.8毫托的压力引入。氩等离子体点燃以使基板154处于氩溅镀清洗环境。氩等离子体较佳地藉由施加约50瓦至约500瓦的RF功率产生。氩等离子体持续约10秒至约300秒的时段,以充分清洗未被反应性氢等离子体移除的沉积物。
[0112] 氩等离子体处理后,室压可提高至约140毫托,主要由氢气与氦气组成的第二预洗气体则被引入处理区域。较佳地,处理气体包含约5%的氢气和约95%的氦气。氢等离子体藉由施加约50瓦至约500瓦的RF功率产生。氢等离子体保持约10秒至约300秒。
[0113] 金属沉积处理
[0114] 第一金属层可沉积在处理室36内的基板154上作为第二金属层“栓塞(plug)”的阻挡层,或可在基板支撑基座152上沉积且退火以在不破坏真空的状态下形成金属硅化物层。基板154包括沉积其上的介电材料(例如硅或氧化硅材料),且一般经图案化限定出特征结构,而金属层可沉积在特征结构内或金属硅化物层可形成在特征结构内。第一金属层可利用PVD技术、CVD技术、或ALD技术沉积。
[0115] 在PVD处理中,金属利用上述的PVD室36沉积。要沉积的材料(例如钴)的靶142被沉积在处理室36的上部。基板154被提供至处理室36且放置到基板支撑基座152上。处理气体被引入处理室36的流量为约5sccm至约30sccm。室压被维持为低于约5毫托,以增进共型PVD金属层(conformal PVD metallayer)的沉积。较佳地,室压在沉积期间为约0.2毫托至约2毫托。更佳地,室压为约0.2毫托至约1.0毫托,以将钴充分溅镀至基板上。
[0116] 等离子体藉由将约0V至约-2400V的负偏压施加至靶142而产生。例如,约0V至约-1000V的负偏压被施加至靶142,以将材料溅镀于200毫米的基板。约0V至约-700V的负偏压可被施加至基板支撑基座152,以改善材料溅镀至基板表面的定向性。基板154在沉积期间的温度可保持在约10℃至约600℃之间。
[0117] 就200毫米的基板而言,沉积处理的例子包括将约5sccm至约30sccm的惰性气体(如氩气)引入至处理室36、维持室压为约0.2毫托至约1.0毫托、将约0V至约1000V的负偏压施加至靶142,以激发气体变成等离子体态、将基板154在溅镀期间的温度保持为约10℃至约600℃,较佳地为约50℃至约300℃,更佳地为约50℃至约100℃、以及使靶142与基板表面分隔约100毫米至约300毫米。此处理将钴沉积至硅材料的速率可为约300埃/分钟至约2000埃/分钟。准直仪100或124可用于在此所述的处理,且不会影响沉积速率。
[0118] 尽管未在图中示出,但诸如上述硅化钴、钴或镍的阻挡材料亦可采用图1及2的设备并通过其它方法沉积。钴材料的沉积可利用CVD技术、ALD技术、离子化磁性等离子体PVD(IMP-PVD)技术、自离子化等离子体PVD(SIP-PVD)技术、无电电镀法、或其组合。例如,钴材料的沉积可在CVD室(如图1中处理平台系统35的处理室38)中利用CVD进行、或在ALD室或CVD室(如图1的处理室38)中利用ALD进行。基板可在处理平台系统35的各个处理室之间传送,而不需破坏真空或将基板暴露于其它外部环境条件。
[0119] 或者,在第二金属层(例如钨)沉积前,阻挡材料层(例如钛或氮化钛)可沉积在第一金属层上。阻挡材料层可避免第二金属层层间扩散到下方基板或硅材料。此外,阻挡材料层可增强第一与第二金属层间的层间粘附性。适合的阻挡层材料包括钛、氮化钛、钽、氮化钽、钨、氮化钨、钛钨合金、其衍生物、和其组合。阻挡材料层的沉积可利用CVD技术、ALD技术、IMP-PVD技术、SIP-PVD技术、或其组合。
[0120] 钨沉积处理
[0121] 在一方面中,基板接着传输到CVD室,以将第二金属层(例如钨)沉积至第一金属层(例如钴或镍)上。钨可利用CVD技术沉积。钨可在足够高的温度下沉积,如约300℃至约500℃,以开始形成金属硅化物(例如硅化钴)。金属硅化物可由部分或全部的第一金属层构成。
[0122] 退火步骤可在材料沉积前在如WXZTM的处理室进行。此退火步骤可在约300℃至约900℃下进行,例如约300℃至约400℃。硅薄层或“硅浸渍(siliconsoak)”层可在沉积任一钨材料之前沉积在阻挡层上。硅沉积步骤可在与钨材料沉积步骤相同的处理室中在原位进行。此外,钨成核步骤可在钨主要沉积步骤前进行。钨成核步骤可在与钨主要沉积步骤或钨后续沉积步骤相同的CVD室中利用ALD技术或CVD技术在原位进行。
[0123] 钨CVD处理的例子包括沉积硅层,其亦称为硅浸渍层、钨成核层沉积、以及钨主层或钨块体层沉积。硅层的沉积包括:将约50sccm至约100sccm的硅烷气体(例如:SiH4、Si2H6或其衍生物)、约500sccm至约5000sccm的反应性气体(例如氢气(H2))、和约500sccm至约5000sccm的惰性气体(例如氩气或氮气)引入至处理室36、并维持室压为约100毫托至约300托、以及保持基板温度为约300℃至约500℃之间。处理约进行5秒至30秒。硅层的沉积厚度通常为约1000埃或更薄。
[0124] 钨成核层的沉积包括将约5sccm至约60sccm的钨前体气体(例如六氟化钨(WF6)、或其衍生物)、约5sccm至约60sccm的硅烷气体(例如SiH4、Si2H6、或其衍生物)、约
500sccm至约5000sccm的反应性气体(例如氢气(H2))、和约500sccm至约5000sccm的惰性气体(例如氩气或氮气)引入至处理室36、并维持室压为约100毫托至约300托、以及保持基板温度为约300℃至约500℃之间。处理约进行5秒至30秒。成核层的沉积厚度通常为约1000埃或更薄。
[0125] 钨层接着沉积到钨成核层上,其处理包括将约25sccm至约250sccm的钨前体气体(例如六氟化钨、或其衍生物)、约500sccm至约5000sccm的反应性气体(例如氢气(H2))、和约500sccm至约5000sccm的惰性气体(例如氩气或氮气)引入至处理室36、并维持室压为约100毫托至约300托、以及保持基板温度为约300℃至约900℃。处理约进行5秒至300秒、或持续进行直到达到预期厚度。钨的沉积速率为约1000埃/分钟至约3000埃/分钟。
[0126] 基板温度在钨主要沉积步骤期间保持足够的温度,以开始在基板154与第一金属层上从硅材料形成金属硅化物层。例如,基板温度可维持在约300℃至约900℃之间,例如约300℃至约400℃之间,以形成具扩散阻挡性质的硅化物层,并同时沉积钨层。
[0127] 钨沉积处理的例子包括形成硅浸渍层,其包括引入约75sccm的硅烷气体、引入约1000sccm的氢气(H2)、引入约1500sccm的氩气或氮气、维持室压为约90托、以及保持基板温度为约425℃。处理约进行10秒至20秒。成核层的沉积包括引入约20sccm的六氟化钨、约10sccm的硅烷气体、约3000sccm的氢气、和约3000sccm的氩气、维持室压为约30托、以及保持基板温度为约425℃。处理约进行15秒。钨层的沉积包括引入约250sccm的六氟化钨、约1000sccm的氢气、和约3000sccm的氩气、维持室压为约300托、以及保持基板温度为约425℃。处理约进行40秒至45秒。
[0128] 一般原位退火处理
[0129] 可选择地,在沉积第二金属层前,第一金属层可通过一个或多个退火步骤在约300℃至约900℃的退火温度下进行原位退火处理,以形成金属硅化物层。该一个或多个退火步骤约进行10秒至600秒。两个或多个退火步骤间可选择性蚀刻第一金属层和金属硅化物层,以移除未反应的第一金属材料。两个或多个退火步骤间可沉积诸如阻挡材料层或第二金属层的材料。
[0130] 在退火处理的一个实施例中,基板154可在沉积室内在惰性气体环境中进行退火处理,包括先将约0sccm(即背面无气体)至约15sccm的惰性气体引入至处理室36、维持室压为约2毫托或更低、以及将基板154加热到约300℃至约900℃达约5秒至600秒,以形成金属硅化物层。
[0131] 在两个处理室中进行低温沉积处理与两步骤原位退火处理
[0132] 在另一实施例中,金属层可藉由物理气相沉积形成在处理室36的硅基板上、以第一温度退火处理第一时间段、传送到处理平台系统35的第二处理室(例如处理室41)、及以第二温度退火处理第二时间段,以在不破坏真空的状态下形成金属硅化物层。
[0133] 金属的物理气相沉积在上述约200℃或更低温度下进行,较佳为约0℃至约100℃。上述两步骤原位退火处理的第一步骤可在沉积室内在惰性气体环境中进行,包括先将约0sccm至约15sccm或更少的惰性气体引入至处理室、维持室压为约2毫托或更低、以及将基板154加热到约400℃至约600℃达约5秒至约300秒。较佳地,基板154是在沉积室内以约500℃退火处理约60秒至约120秒。在此所述的其它退火处理期间,第一退火处理基板较佳地在与沉积处理相同的处理室中进行。
[0134] 基板154可移出沉积室并传送到置于同一传输室上的真空退火室,例如上述图1的传输室48。高真空退火室可包括PVD室,具有空靶、和上述的基板支撑基座152或市场上可购买的高真空退火基座,例如美国加州圣克拉拉市的应用材料公司的市场上可购买的的高温高均匀度(HTHU)基板支撑件。
[0135] 接着可进行第二退火步骤,包括维持室压为约2毫托或更低、以及将基板154加热到约600℃至约900℃达约5秒至约300秒,以形成金属硅化物层。较佳地,基板在退火室内以约800℃退火处理约60秒至约120秒。 在两个处理室中进行低温沉积处理与两步骤退火处理
[0136] 在两个处理室进行沉积处理与退火处理的另一实施例中,金属层的沉积可根据上述处理在沉积室内在约200℃或更低温度下进行,较佳地为约0℃至约100℃。基板154可根据上述退火处理在沉积室内进行退火处理。接着,基板154可传送到置于图1的传输室50上的RTA室,以进行第二退火处理。
[0137] RTA室的退火处理包括引入流速大于20公升/分钟、且含有氮气(N2)、氩气、氦气和其混合气体并含约4%以下的氢气(H2)的处理气体来控制含氧量小于100ppm、维持室压为约环境压力、以及将基板154加热到约600℃至约900℃、达约5秒至约300秒,以形成金属硅化物层。较佳地,基板154在RTA室内以约800℃退火处理约30秒。
[0138] 在三个处理室中进行低温沉积处理与两步骤退火处理
[0139] 在另一实施例中,金属层可沉积至处理室36的硅基板上、传送到第一退火室(例如置于处理平台系统35的同一传输室48上的真空退火室)、以第一温度退火处理第一时间段、传送到处理平台系统35的第二退火室(例如处理室41)、及以第二温度退火处理第二时间段,以在不破坏真空的状态下形成金属硅化物层。
[0140] 金属层的沉积可根据上述处理在沉积室内在约200℃或更低基板温度下进行,较佳为约0℃至约100℃。此退火处理实施例的第一步骤可在置于处理系统上的第一高真空退火室内原位进行,包括将约0sccm至约15sccm的惰性气体引入至退火室、维持室压为约2毫托或更低、以及将基板154引入到约400℃至约600℃达约5秒至约300秒。较佳地,基板154在沉积室内以约500℃退火处理约60秒至约120秒。第一退火步骤确信可形成如硅化钴(CoSi)的抗氧膜层。
[0141] 基板154可传送到处理平台系统35的第二高真空退火室来进行原位退火处理。接着可进行第二退火步骤,包括维持室压为约2毫托或更低、以及将基板154加热到约600℃至约900℃达约5秒至约300秒,以形成金属硅化物层。较佳地,基板在退火室内以约800℃退火处理约60秒至约120秒。
[0142] 或者,基板154可传送到位于传输室48、50或处理平台系统35外的第二退火室,例如大气压RTA室。大气压RTA室的退火处理包括引入流速大于20公升/分钟、且含有氮气(N2)、氩气、氦气和其混合气体并含约4%以下的氢气(H2)的处理气体来控制含氧量小于100ppm、维持室压为约环境压力、以及将基板154加热到约400℃至约900℃达约5秒至约
300秒,以形成金属硅化物层。较佳地,基板154在RTA室内以约800℃退火处理约30秒。
[0143] 高温沉积处理与退火处理
[0144] 金属层可在高沉积温度下沉积。就200毫米的基板而言,沉积处理的例子包括将约5sccm至约30sccm的惰性气体(如氩气)引入至处理室36、维持室压为约0.2毫托至约1.0毫托、将约0V至约1000V的负偏压施加至靶142,以激发气体变成等离子体态、藉由施加背面气体而使基板154保持处于退火温度(即约400℃至约600℃)、以及将靶142与基板表面分隔约100毫米至约300毫米。藉由在无背面气体的情况下加热基板,可维持温度为约200℃。此处理将钴至沉积硅材料的速率可为约100埃/分钟至约2000埃/分钟。
[0145] 接着可在沉积室内进行退火处理,包括结束等离子体、及以与沉积处理相同的加热程度来将基板154加热到约400℃至约600℃。退火处理可在约400℃至约600℃下进行约5秒至300秒。较佳地,基板154在沉积室内以约500℃退火处理约60秒至约120秒。
[0146] 第二退火步骤接着可在不破坏真空的状态下在退火室或在独立的传输室或处理系统上的退火室中进行。第二退火步骤包括将基板154加热到约600℃至约900℃达约5秒至约300秒,以形成金属硅化物层。较佳地,基板154以约800℃退火处理约60秒至约120秒。
[0147] 层间沉积处理与退火处理
[0148] 在本发明的一一方面中,所述的两步骤退火处理可由一个或多个处理步骤(如沉积步骤)分隔开。例如,第一金属层(如钴层或镍层)可在第一处理室内沉积、在第一传输室内进行原位退火处理、或传送到第二处理室来进行后续的沉积与退火步骤。第二金属层(如钨层)接着可沉积至经退火处理的基板154上,且在第二处理室内进行第二退火步骤来处理基板154、或将基板154传送到第三处理室来完成后续的退火处理。
[0149] 在另一实施例中,第一金属层(如钴层或镍层)可在第一处理室内沉积、在处理平台系统35中进行原位退火处理、传送到第二沉积室来将阻挡材料(如氮化钛)沉积在其上、传送到第三沉积室来沉积第二金属层、接着在第三处理室内进一步退火处理基板、或将基板传送到第四处理室来完成退火处理。基板可在四个处理室的任一个之间传送而不会破坏真空。或者,第一金属层的原位退火处理可在沉积阻挡材料之后或沉积第二金属层(例如钨)之前进行。
[0150] 金属与金属硅化物沉积的示例
[0151] 用来形成金属硅化物层以作为特征结构中钨栓塞的阻挡层的沉积处理示例如下并在图8A-8C示出。其上形成有含硅材料310且其中形成有特征结构320的基板300被传输到处理平台系统35。含硅材料310可以是介电材料,包括硅、氧化硅、掺有杂质的硅或掺有杂质的氧化硅层、或其它用于基板处理的含硅介电材料,其可利用原子层外延(ALE)处理或CVD处理沉积。本发明的实施例亦可预期使层310包括藉由该技术领域已知或未知的方法来沉积的半导体含硅材料,其包含多晶硅、掺杂多晶硅、或其组合。
[0152] 特征结构320通过用本领域所熟知的常规方法而在含硅材料310中形成。例如,形成特征结构320可包括沉积与图案化光阻材料,以限定特征开口、接着进行硅蚀刻处理来限定特征结构320、及利用诸如氧剥离法来移除残留的光阻材料。然后在沉积后续材料前,可利用等离子体清洗处理来处理特征结构320以移除任何污染物,例如形成在含硅材料上的氧化物。如图8A所示,硅化钴层或金属钴层可利用ALD处理、CVD处理或PVD处理沉积于特征结构320的底部与侧壁以作为阻挡层330。
[0153] 钴阻挡层330可经退火处理以在钴层与含硅材料310的界面325形成硅化钴。取决于所采用的退火处理,钴阻挡层330实质上可全部或部分地转化成硅化钴。若钴材料实质上未转化成硅化钴材料,则会形成未反应钴的表面335,如图8B所示,其暴露于后续沉积的材料。钴表面335可保持以进一步当作后续沉积的金属层(例如钨)的阻挡层、或者可利用蚀刻处理自基板300的表面移除。
[0154] 如图8C所示,钨层350可沉积填入特征结构320。钨可在足够高的温度下沉积,以有效退火处理钴材料而将未反应的钴材料完全转化成硅化钴,并沉积钨且填入特征结构320。或者,可进行第二退火步骤,使钴阻挡层330实质上转化成硅化钴层340。
[0155] 此硅化钴阻挡层和填入特征结构320的钨层可在处理平台系统35中处理如下。参照图2,基板300可经由负载锁定室46传送到处理平台系统35的第一传输室48。第一传输室48的操作压力为约400毫托。传输机械手49从负载锁定室46取回基板300,并将基板300传送到通行室52。第二传输室50的传输机械手51从通行室52取回基板300,并将-8基板300放置到用于沉积钴的PVD室38。第二传输室50的操作压力为约1×10 托。或者在PVD室38中沉积钴之前,传输机械手51可将基板300放置到预洗室之一。在PVD处理TM
后,基板300可传回第一传输室48且放置到供化学气相沉积钨用的WXZ CVD处理室38。
基板接着可按需进行退火处理。
[0156] 或者在PVD处理后,基板300可放置到处理室41(其为能原位退火的WXZTM处理室),而在CVD处理前,钴材料可先退火处理以形成硅化物材料或增强阻挡性质。钨层可在TM TM退火步骤之后在WXZ 处理室内沉积。然而在WXZ 处理室中进行第一退火步骤后,基板300TM
可传送到等离子体蚀刻室(如 处理室),以蚀刻移除钴,并且在沉积钨之前,在WXZ
处理室或其它退火室中退火处理第二时间段。沉积后,按需进行退火处理;基板300经由传输机械手49传送到负载锁定室46。基板300接着可传送到独立的设备例如化学机械研磨设备作进一步处理。
[0157] 金属硅化物的另一应用包括形成MOS器件(如图9所示)。金属硅化物包括钴、钛、钽、钨、钼、铂、镍、铁、铌、钯、或其组合的硅化物,其用于MOS器件。
[0158] 在所例示的MOS结构中,N+源极/漏极区402、404在场氧化层部分406旁的P型硅基板400中形成。栅极氧化层408和多晶硅栅电极410在源极/漏极区402、404间的硅基板400上形成,而氧化物间隔片412在多晶硅栅电极410的侧壁上形成。
[0159] 钴层利用在此所述的处理沉积在MOS结构上,特别是在源极/漏极区402、404的暴露硅表面和多晶硅栅电极410的暴露上表面上。钴材料的沉积厚度为约1000埃或更薄,以提供足够量的钴与源极/漏极区402、404下方的硅作后续反应。钴沉积至硅材料上的厚度可介于约50埃至约500埃。在一方面中,钴层接着可如本文所述地进行原位退火处理,以形成硅化钴。
[0160] 尽管未示出,但诸如氮化钛等材料的阻挡层或衬垫层可沉积在钴材料上,以进一步增强钴层的阻挡性质。沉积氮化钛层的步骤可取代上述移除未反应钴的步骤。然而在根据所述退火处理而退火处理基板表面后,可利用蚀刻处理移除未反应的钴与钛。
[0161] 接着可根据所述的两步骤退火处理再次退火处理基板400。介电材料422可沉积在所形成的结构上,且经蚀刻而形成器件中的接触结构420。接触结构420接着可利用所述的ALD处理、CVD处理、或其组合(如本文所述)来填入接触材料,例如钨、铝、铜、或其合金。
[0162] 在一方面中,退火处理期间基板表面上未反应的钴一般可利用湿法蚀刻处理或等离子体蚀刻处理移除,且多晶硅栅电极410和硅基板400的源极/漏极区402、404上的硅化钴仍为具均匀厚度的硅化钴(CoSi2)区域414、416、418。未反应的钴可在同一真空处理系统上的 处理室内以等离子体处理移除、或可传送到其它处理系统进行处理。湿法蚀刻处理一般在第二处理系统中进行。
[0163] 利用ALD或CVD处理形成硅化钴与金属钴材料
[0164] 在其它实施例中,基板可经一序列的处理程序暴露以形成含钴的接触材料。一般在进行至少一沉积处理来在基板上形成和/或沉积硅化钴材料、金属钴材料、或其组合之前,可进行至少一预清洗处理来处理基板。形成含钴材料的至少一沉积处理较佳地为ALD处理、CVD处理、或其组合,但亦可包括PVD处理或无电电镀法。ALD处理与CVD处理包括诸如PE-ALD处理或PE-CVD处理的等离子体辅助(PE)处理、和诸如脉冲式CVD处理或脉冲式PE-CVD处理的脉冲式处理。金属接触材料以一个或多个步骤沉积或形成在基板上,例如籽晶层、块体层、或填充层。其次,进行平坦化处理来处理基板,以移除基板表面上多余的金属接触材料。在任一沉积处理之前、期间或之后,可进行至少一退火处理处理基板。
[0165] 图10-16及19为根据在此所述实施例,描绘用来制造图17A-17I的基板1700的多个处理的流程图。图17A-17I为结合在此所述的实施例,位于基板1700上的电子器件在互连制造程序的不同阶段的截面图。图10-16及19分别为用来形成基板1700的处理1000、1100、1200、1300、1400、1500、1600、和1900的流程图。在其它实施例中,处理2000、2100、
2200、2400、和2600、或其步骤在图20-22、24及26中示出,且可完全或部分地用来形成基板
1700或其它未示出的基板。
[0166] 在一实施例中,处理1000包括使基板1700暴露于预清洗处理(步骤1010)、在基板1700上沉积硅化钴材料1720(步骤1020)、在基板1700上沉积金属钴材料1730(步骤1030)、在基板1700上沉积金属接触材料1740(步骤1040)、以及使基板1700暴露于平坦化处理(步骤1050)。
[0167] 在另一实施例中,处理1100包括使基板1700暴露于预清洗处理(步骤1110)、在基板1700上沉积硅化钴材料1720(步骤1120)、在基板1700上沉积金属钴材料1730(步骤1130)、使基板1700暴露于退火处理(步骤1140)、在基板1700上沉积金属接触材料1740(步骤1150)、以及使基板1700暴露于平坦化处理(步骤1160)。
[0168] 在又一实施例中,处理1200包括使基板1700暴露于预清洗处理(步骤1210)、在基板1700上沉积硅化钴材料1720(步骤1220)、使基板1700暴露于退火处理(步骤1230)、在基板1700上沉积金属钴材料1730(步骤1240)、在基板1700上沉积金属接触材料
1740(步骤1250)、以及使基板1700暴露于平坦化处理(步骤1260)。
[0169] 在再一实施例中,处理1300包括使基板1700暴露于预清洗处理(步骤1310)、在基板1700上沉积硅化钴材料1720(步骤1320)、在基板1700上沉积金属钴材料1730(步骤1330)、在基板1700上沉积金属接触材料1740(步骤1340)、使基板1700暴露于平坦化处理(步骤1350)、以及使基板1700暴露于退火处理(步骤1360)。
[0170] 在另一实施例中,处理1400包括使基板1700暴露于预清洗处理(步骤1410)、在基板1700上沉积硅化钴材料1720(步骤1420)、在基板1700上沉积金属钴材料1730(步骤1430)、在基板1700上沉积金属接触材料1740(步骤1440)、使基板1700暴露于退火处理(步骤1450)、以及使基板1700暴露于平坦化处理(步骤1460)。
[0171] 在又一实施例中,处理1500包括使基板1700暴露于预清洗处理(步骤1510)、在基板1700上沉积金属钴材料1715(步骤1520)、使基板1700暴露于退火处理以形成硅化钴材料1720(步骤1530)、在基板1700上沉积金属钴材料1730(步骤1540)、在基板1700上沉积金属接触材料1740(步骤1550)、以及使基板1700暴露于平坦化处理(步骤1560)。
[0172] 在再一实施例中,处理1600包括使基板1700暴露于预清洗处理(步骤1610)、在基板1700上沉积金属钴材料1715(步骤1620)、使基板1700暴露于退火处理以形成硅化钴材料1720(步骤1630)、在基板1700上沉积金属接触材料1740(步骤1640)、以及使基板1700暴露于平坦化处理(步骤1650)。
[0173] 在另一实施例中,处理1900包括使基板1700暴露于预清洗处理(步骤1910)、在基板1700上沉积硅化钴材料1720(步骤1920)、在基板1700上沉积金属接触材料1740(步骤1930)、以及使基板1700暴露于平坦化处理(步骤1940)。
[0174] 图17A为基板1700的截面图,其具有在含硅层1702中形成的接触开孔1710。该接触开孔1710包括壁面1712和底面1714。含硅层1702可包含介电材料,包括硅、多晶硅、非晶硅、外延硅、二氧化硅、和其它氧化硅、绝缘体上硅(SOI)、氮氧化硅、其掺杂变体、掺杂氟的硅酸玻璃(FSG)、掺杂碳的氧化硅,例如SiOxCy(例如可从美国加州圣克拉拉市的应用材料公司购得的BLACK 低k介电材料)。接触开孔1710可利用常规光刻与蚀刻技术而在含硅层1702中形成并暴露出底面1714,例如位线层(bit line layer)。或者,含硅层1702可沉积在构成接触开孔1710的基板1700上。含硅层1702和底面1714可包含纯硅、或含有锗、碳、硼、磷、砷、金属或其组合、或其它掺有杂质的含硅材料。例如,底面1714可包含硅、碳化硅、硅锗、碳化硅锗、金属硅化物、其掺杂变体、或其组合。在一实施例中,底面1714为MOS型源极或漏极界面,且通常为基板1700中掺杂的硅区域(如n+或p+)。
[0175] 基板1700上的固有表面1704可能含有氧化层、污染物、或其组合。在一实施例中,固有表面1704包含固有氧化层,其因在进行蚀刻与灰化处理形成接触开孔1710后,底面1714暴露于空气而氧化。固有表面1704横跨底面1714可为连续层或非连续层,且包括氧、氢、氢氧化物、卤化物、金属、或其组合的表面端结。固有表面1704还可能含有各种污染物,例如有机与无机残留物及粒子。相较于稳定得多且通常用以构成含硅层1702(例如SiO2)的氧化物材料(例如热氧化物),底面1714上的固有表面1704一般包含质量较差的次稳态(metastable)氧化物(例如SiOx,其中x介于0至2之间)。质量较差的次稳态氧化物(例如“固有氧化物”)比含硅层1702还容易从底面1714移除,这可能是因为其活化能比含硅层1702低。
[0176] 预处理与后处理处理及浸渍(soak)处理
[0177] 图17B示出在移除固有表面1704后包含底面1714的暴露表面1706的基板1700。暴露表面1706可根据在此所述实施例在处理1000-1600的步骤1010、1110、1210、1310、
1410、1510、和1610期间,利用至少一预处理过程形成。在其它实施例中,其它基板的暴露表面(如含硅表面)可在步骤2210、2410、2430、2450、2610、2630、和处理2200、2400、2600期间,利用至少一预处理过程、或预浸渍渍处理形成。预清洗处理可用来移除固有表面1704并且露出暴露表面1706的含硅表面。
[0178] 在一实施例中,预清洗处理可为湿法清洗处理,例如缓冲氧化物蚀刻(BOE)处理、SC1处理、SC2处理、或最后HF清洗(HF-last)处理。或者,预清洗处理可为干法清洗处理,例如等离子体蚀刻处理。例如,可用于预清洗处理中的等离子体蚀刻处理为可从美国加州TM圣克拉拉市的应用材料公司购得的SICONI 预清洗处理。预处理过程诸如预清洗处理和形成暴露表面1706的活化处理,其将进一步在下面说明。在另一实施例中,基板1700暴露于还原氢等离子体,以将固有表面1704化学还原成暴露表面1706的含硅表面。
[0179] 暴露表面,例如暴露表面1706,可以是下方材料层的一含硅表面,或是实际基板的一含硅表面,且其包括下列材料:硅、氧化硅、硅锗、硅碳、硅锗碳、其衍生物、掺杂衍生物、或其组合。暴露表面可以为结晶、多晶或非晶。在一实施例中,暴露表面可以为实际下方硅基板的一结晶表面。在另一实施例中,暴露表面可以为外延沉积含硅材料。在另一示例中,暴露表面可以为多晶含硅材料。在另一示例中,暴露表面为氧化硅或氮氧化硅材料。
[0180] 在本发明中,“含硅”材料、薄膜或层一词应视为包括一组分,其至少含有硅,并可包括锗、碳、氧、硼、砷、及/或磷。其它元素,例如金属、卤素或氢亦可以杂质形式纳入含硅材料、薄膜或层中。
[0181] 湿法清洗处理
[0182] 在一实施例中,可在步骤1010、1110、1210、1310、1410、1510、1610、和1910期间进行湿法清洗处理以处理基板,而用以移除固有表面1704并形成暴露表面1706。在另一实施例中,可在处理2200、2400、2600的步骤2210、2410、2610期间进行湿法清洗处理以处理其它基板(未示出),以移除任一固有表面并形成暴露表面。基板1700可以湿法清洗处理处理,例如酸性清洗处理(如使用含有盐酸与过氧化氢的加热溶液的SC2清洗处理)、碱性清洗处理(如使用含有氢氧化铵与过氧化氢的加热溶液的SC1清洗处理)、或一系列包含酸性与碱性清洗处理的湿法清洗处理。在一较佳实施例中,基板1700暴露于SC1溶液(如氢氧化四甲铵(TMAH)与过氧化氢(H2O2))以移除有机残留物和其它污染物,接着暴露于BOE溶液(如0.5M的TEA-HF溶液)以移除固有氧化物。
[0183] 湿法清洗处理可包括将湿法清洗液分散或喷洒在整个基板1700的表面。湿法清洗处理可在与后续无电电镀法相同的处理室中而原位进行。或者,基板1700可在不同于后续无电电镀处理室的独立处理室中清洗。湿法清洗预处理处理可进行约10分钟或更短,例如约5秒至约5分钟,较佳为约5秒至约3分钟,更佳为约10秒至约2分钟,再佳为约15秒至约1分钟。在预处理过程中,基板温度保持为约15℃至约50℃,较佳为约室TM温(如20℃)。湿法清洗处理可在美国加州圣克拉拉市的应用材料公司购得的TEMPEST湿法清洗系统中进行。其它可用来移除固有表面1704的湿法清洗处理例子将在共同受让的美国专利申请S/N.11/385,484(APPM/9916.05)、2006年3月20日提交且公开为美国专利公开No.2006-0251801的申请案;美国专利申请S/N.11/385,344(APPM/9916.03)、
2006年3月20日提交且公开为美国专利公开No.2006-0251800的申请案;以及美国
专利申请S/N.11/385,290(APPM/9906)、2006年3月20日提交且公开为美国专利公开
No.2006-0252252的申请案,其结合于此以供参考。
[0184] 在一实施例中,固有表面1704可使用最后步骤HF清洗溶液(HF-lastsolution)移除,使暴露底面1714形成为实质上不含氧化物的氢化硅表面。在一示例中,湿法清洗处理采用含有水、HF、且可任选的含有螯合剂、表面活性剂、还原剂、其它酸液、或其组合等添加剂的HF-last溶液。在一示例中,湿法清洗液的氟化氢浓度为约10ppm至约5重量%,较佳为约50ppm至约2重量%,更佳为约100ppm至约1重量%,例如约0.5重量%。在另一实施例中,固有表面1704可以液态还原处理移除,以形成实质上不含氧化物的含硅表面的暴露底面1714。
[0185] SC1与SC2处理
[0186] 在一实施例中,可在步骤1010、1110、1210、1310、1410、1510、和1610期间使用SC1清洗液来处理包含固有表面1704的基板1700,以移除污染物(如有机与无机残留物及粒子)并形成暴露表面1706。在另一实施例中,可在步骤2210、2410、2610期间使用SC1清洗液来暴露其它基板(未示出),以移除污染物(如有机与无机残留物及粒子)并形成暴露表面。在一实施例中,SC1清洗液含有过氧化氢和至少一碱性化合物,例如氢氧化铵、氢氧化四甲铵、乙醇胺、二乙醇胺、三乙醇胺、其衍生物、其盐类、或其组合。基板可加热到约50℃至约100℃,较佳为约70℃至约90℃。
[0187] 在另一实施例中,可在步骤1010、1110、1210、1310、1410、1510、和1610期间使用SC2清洗液来处理包含固有表面1704的基板1700。在又一实施例中,可在步骤2210、2410、2610期间使用SC2清洗液来处理其它基板(未示出)。在一实施例中,SC2清洗液含有过氧化氢和氯化氢。基板可加热到约50℃至约100℃,较佳为约70℃至约90℃。
[0188] BOE处理及溶液
[0189] 在预清洗处理的另一实施例中,可在步骤1010、1110、1210、1310、1410、1510、1610、和1910期间使用BOE溶液与处理,以选择性移除基板1700上的固有氧化物和其它污染物。此外,可在步骤2210、2410、2610期间用来选择性移除其它基板上的固有氧化物和其它污染物。BOE溶液一般含有烷胺化合物或烷醇胺化合物、和蚀刻剂(如氟化氢)。烷醇胺化合物可包括乙醇胺(EA)、二乙醇胺(DEA)、三乙醇胺(TEA)、或其衍生物。在一实施例中,固有表面1704可藉由在约20℃下使用含有0.5M的TEA-HF溶液的BOE溶液处理基板1700约25秒而从暴露底面1714移除。在另一示例中,基板1700可在约20℃下以含有0.5M的EA-HF溶液的BOE溶液处理约20秒。在又一示例中,基板1700可在约20℃下以含有0.5M的DEA-HF溶液的BOE溶液处理约30秒。其它可用来移除固有表面1704的BOE湿法清洗
处理的例子将在美国专利申请No.11/385,041、2006年3月20日申请的申请案中更详细地描述,其全部结合于此以供参考。
[0190] 等离子体蚀刻处理
[0191] 在另一实施例中,在步骤1010、1110、1210、1310、1410、1510、1610、和1910期间基板1700可被暴露于等离子体蚀刻处理或等离子体清洗处理,用以移除固有表面1704并形成暴露底面1714。在又一实施例中,在步骤2210、2410、2610期间其它基板可被暴露于等离子体蚀刻处理或等离子体清洗处理,用以移除任一固有表面并形成暴露底面。此外,等离子体蚀刻处理可用于在此处所述的数个处理(例如无电电镀处理)之前,移除暴露接触表面上所形成的固有氧化物和其它污染物。暴露于等离子体蚀刻处理的表面通常较易粘附后续沉积的金属层。等离子体蚀刻处理可在适用于执行基板的化学蚀刻清洗与原位退火处理的处理室中进行。
[0192] 利用氨气(NH3)与三氟化氮(NF3)的混合气体而在等离子体蚀刻处理室内移除基板表面的固有氧化物的等离子体蚀刻处理的例子将在下面描述。等离子体蚀刻处理首先将基板放置到等离子体蚀刻处理室中。在处理时,基板可降温至65℃以下,例如约15℃至50℃。在另一示例中,基板温度可保持约22℃至40℃。基板支撑件一般维持在约22℃以下,以达到预期的基板温度。
[0193] 氨气与三氟化氮气体可被引入干法蚀刻处理室而形成清洗气体混合物。引入处理室的各种气体的量是可变的,且可调节以例如满足要移除的氧化层厚度、要清洗基板的几何形状、等离子体的体积容量、和处理室主体的体积容量。在一方面中,气体可加入来提供氨气与三氟化氮摩尔比至少为1∶1的混合气体。在另一方面中,混合气体的摩尔比至少为3∶1(氨气∶三氟化氮)。较佳地,引入干法蚀刻处理室的气体摩尔比为约1∶1(氨气∶三氟化氮)至约30∶1,更佳为约5∶1(氨气∶三氟化氮)至约30∶1。再佳地,混合气体的摩尔比为约5∶1(氨气∶三氟化氮)至约10∶1。混合气体的摩尔比亦可为约10∶1(氨气∶三氟化氮)至约20∶1。或者,可在等离子体蚀刻处理中使用具有较佳摩尔比的预先混合的(pre-mixed)混合气体。
[0194] 净化气体或载气亦可混入混合气体中。适合的净化气体/载气例如为氩气、氦气、氢气、氮气、合成气体、或其混合物。整体混合气体按氨气与三氟化氮体积计一般为约0.05%至约20%。处理气体的其余部分可为载气。在一实施例中,清洗气体或载气比反应性气体还早引进处理室主体,以稳定室体内的压力。
[0195] 室体内的操作压力是可变的。压力可维持为约500毫托至约30托,较佳为约1托至约10托,更佳为约3托至约6托。约5瓦至约600瓦的RF功率可用来点燃等离子体腔室内的混合气体等离子体。较佳地,RF功率小于约100瓦。更佳地,使用功率的频率很低,例如低于约100kHz,再佳地为约50kHz至约90kHz。
[0196] 等离子体能量将氨气与三氟化氮解离成反应性物种,其结合成高反应性的氟化铵(NH4F)化合物及/或氟化氢铵(NH4F-HF),并与基板表面反应。在一实施例中,载气先被引入干法蚀刻处理室,并产生载等离子体,接着将反应性气体、氨气、和三氟化氮加入至等离子体中。
[0197] 不期望受限于任一理论,确信蚀刻气体、NH4F及/或NH4F-HF会与固有氧化物表面反应以形成六氟硅酸铵((NH4)2SiF6)、氨、与水。氨与水在处理条件下为蒸气,并可由连接处理室的真空泵而移出处理室。六氟硅酸铵薄膜则留在基板表面。
[0198] 基板表面的六氟硅酸铵薄膜可在真空升华处理期间移除。处理室散热来将六氟硅酸铵薄膜解离或升华成挥发性SiF4、NH3、和HF产物。这些挥发性产物接着由连接系统的真空泵移出处理室。在一示例中,采用约75℃或更高温度以有效升华及移除基板的薄膜。较佳地,采用约100℃或更高温度,例如介于约115℃至约200℃的温度。一旦从基板移除薄膜,则净化处理室,再移出干净的基板。
[0199] 等离子体清洗处理可在真空预洗室进行,例如SICONITM预洗室和处理,二者皆可从美国加州圣克拉拉市的应用材料公司购得。可作为实施例的等离子体辅助干法蚀刻室与等离子体蚀刻处理将在共同受让的美国专利申请S/N.11/063,645(APPM/8802)、2005年2月22日申请且公开为美国专利公开No.2005-0230350的申请案、和美国专
利申请S/N.11/192,993(APPM/8707)、2005年7月29日申请且公开为美国专利公开
No.2006-0033678的申请案,其结合于此以供参考且不与本发明的权利要求相悖。
[0200] 惰性等离子体处理
[0201] 在另一实施例中,在步骤1010、1110、1210、1310、1410、1510、1610、和1910期间具有固有表面1704的基板1700可被暴露于惰性等离子体处理,以移除诸如有机与无机残留物及粒子的污染物,并形成暴露表面1706。在又一实施例中,在步骤2210、2410、2610期间其它具有固有表面的基板可暴露于惰性等离子体处理,以移除诸如有机与无机残留物及粒子的污染物,并形成暴露表面。在一实施例中,惰性等离子体预清洗处理为从美国加州圣克拉拉市的应用材料公司购得的Ar+预清洗处理。基板1700可传送到等离子体室,例如可从美国加州圣克拉拉市的应用材料公司购得的 DPN处理室。在一方面中,等离子体室可设在与沉积硅化钴材料1720或金属钴材料1715或1730所使用的ALD室或CVD室相同的群集式工具上。因此基板1700可暴露于惰性等离子体处理而不接触到周围环境。惰性等离子体处理期间,固有表面1704受到流入DPN处理室的氩气所构成的氩离子轰击。可用于惰性等离子体处理的气体包括氩气、氦气、氖气、氙气、或其组合。
[0202] 惰性等离子体处理进行约10秒至约5分钟,较佳为约30秒至约4分钟,更佳为约1分钟至约3分钟。此外,惰性等离子体处理采用的等离子体功率为约500瓦至约3000瓦,较佳为约700瓦至约2500瓦,更佳为约900瓦至约1800瓦。等离子体处理采用的工作循环(duty cycle)一般为50%至约100%,且脉冲频率为约10kHz。等离子体室的压力可为约10毫托至约80毫托。惰性气体流量可为约每分钟10准立方厘米(sccm)至约每分钟5准公升(slm),较佳为约50sccm至约750sccm,更佳为约100sccm至约500sccm。在一较佳实施例中,惰性等离子体处理采用等离子体室来产生不含氮的氩等离子体。
[0203] 沉积含钴材料
[0204] 图17C-17E示出根据在此所述实施例在其上沉积及/或形成含钴材料的基板1700。含钴材料包括硅化钴材料1720、金属钴材料1715、及/或金属钴材料1730,且沉积或形成方法可为ALD处理、CVD处理、PVD处理、无电电镀处理、或其组合。
[0205] 在一实施例中,如图17D及17E所示,处理1000包括在基板1700上沉积硅化钴材料1720(步骤1020)、和在基板1700上沉积金属钴材料1730(步骤1030)。在一示例中,硅化钴材料1720与金属钴材料1730在同一处理室中沉积,例如ALD室、CVD室、或PVD室。在另一实施例中,硅化钴材料1720与金属钴材料1730在不同的处理室中沉积,例如ALD室、CVD室、或PVD室。
[0206] 在另一实施例中,如图17D及17E所示,处理1100包括在基板1700上沉积硅化钴材料1720(步骤1120)、在基板1700上沉积金属钴材料1730(步骤1130)、和使基板1700暴露于退火处理(步骤1140)。在一示例中,硅化钴材料1720与金属钴材料1730的沉积处理、和退火处理在同一处理室中进行,例如ALD室、CVD室、或PVD室。在另一示例中,硅化钴材料1720与金属钴材料1730在同一处理室中沉积,而退火处理在退火室中进行。在又一示例中,硅化钴材料1720与金属钴材料1730在不同的处理室中沉积(例如ALD室、CVD室、或PVD室),而退火处理在任一处理室中进行。在再一示例中,硅化钴材料1720与金属钴材料1730在不同的处理室中沉积(例如ALD室、CVD室、或PVD室),而退火处理在退火室中进行。
[0207] 在又一实施例中,如图17D及17E所示,处理1200包括在基板1700上沉积硅化钴材料1720(步骤1220)、使基板1700暴露于退火处理(步骤1230)、和在基板1700上沉积金属钴材料1730(步骤1240)。在一示例中,硅化钴材料1720与金属钴材料1730的沉积处理、和退火处理在同一处理室中进行,例如ALD室、CVD室、或PVD室。在另一示例中,硅化钴材料1720与金属钴材料1730在同一处理室中沉积,而退火处理在退火室中进行。在又一示例中,硅化钴材料1720与金属钴材料1730在不同的处理室中沉积(例如ALD室、CVD室、或PVD室),而退火处理在任一处理室中进行。在再一示例中,硅化钴材料1720与金属钴材料1730在不同的处理室中沉积(例如ALD室、CVD室、或PVD室),而退火处理在退火室中进行。
[0208] 在再一实施例中,如图17D及17E所示,处理1300包括在基板1700上沉积硅化钴材料1720(步骤1320)、和在基板1700上沉积金属钴材料1730(步骤1330)。接着,使基板1700暴露于退火处理(步骤1360)。在一示例中,硅化钴材料1720与金属钴材料1730的沉积处理、和退火处理在同一处理室中进行,例如ALD室、CVD室、或PVD室。在另一示例中,硅化钴材料1720与金属钴材料1730在同一处理室中沉积,而退火处理在退火室中进行。
在又一示例中,硅化钴材料1720与金属钴材料1730在不同的处理室中沉积(例如ALD室、CVD室、或PVD室),而退火处理在任一处理室中进行。在再一示例中,硅化钴材料1720与金属钴材料1730在不同的处理室中沉积(例如ALD室、CVD室、或PVD室),而退火处理在退火室中进行。
[0209] 在另一实施例中,如图17D及17E所示,处理1400包括在基板1700上沉积硅化钴材料1720(步骤1420)、和在基板1700上沉积金属钴材料1730(步骤1430)。接着,使基板1700暴露于退火处理(步骤1450)。在一示例中,硅化钴材料1720与金属钴材料1730的沉积处理、和退火处理在同一处理室中进行,例如ALD室、CVD室、或PVD室。在另一示例中,硅化钴材料1720与金属钴材料1730在同一处理室中沉积,而退火处理在退火室中进行。
在又一示例中,硅化钴材料1720与金属钴材料1730在不同的处理室中沉积(例如ALD室、CVD室、或PVD室),而退火处理在任一处理室中进行。在再一示例中,硅化钴材料1720与金属钴材料1730在不同的处理室中沉积(例如ALD室、CVD室、或PVD室),而退火处理在退火室中进行。
[0210] 在又一实施例中,如图17C及17D所示,处理1500包括在基板1700上沉积金属钴材料1715(步骤1520)、和暴露于退火处理(步骤1530),以在自对准硅化处理或硅化处理期间形成硅化钴材料1720。在一方面中,金属钴材料1715可在自对准硅化处理或硅化处理期间完全消耗变成硅化钴材料1720。硅化钴材料1720由暴露表面1706的硅原子与金属钴材料1715的钴原子构成。故如图17E所示,金属钴材料1730可沉积至基板1700(步骤1540)。
[0211] 在又一实施例中,如图17C及17E所示,处理1500包括在基板1700上沉积金属钴材料1715(步骤1520)、和暴露于退火处理(步骤1530),以在自对准硅化处理或硅化处理期间由部分金属钴材料1715形成硅化钴材料1720。金属钴材料1715仅部分消耗变成硅化钴材料1720,其余部分仍为金属钴。故如图17E所示,金属钴材料1715的其余部分经自对准硅化处理或硅化处理处理后为金属钴材料1730。可任选地,金属钴材料1730可沉积至基板1700(步骤1540)。
[0212] 在一示例中,金属钴材料1715的沉积处理和退火处理在同一处理室中进行,例如ALD室、CVD室、或PVD室。在另一示例中,金属钴材料1715在处理室中沉积,而退火处理在退火室中进行。在又一示例中,金属钴材料1715与金属钴材料1730在不同的处理室中沉积(例如ALD室、CVD室、或PVD室),而退火处理在任一处理室中进行。在再一示例中,金属钴材料1715与金属钴材料1730在不同的处理室中沉积(例如ALD室、CVD室、或PVD室),而退火处理在退火室中进行。
[0213] 在再一实施例中,如图17C及17D所示,处理1600包括在基板1700上沉积金属钴材料1715(步骤1620)、和进行退火处理(步骤1630),以在自对准硅化处理或硅化处理期间形成硅化钴材料1720。在一方面中,金属钴材料1715可在自对准硅化处理或硅化处理期间完全消耗变成硅化钴材料1720(17D)。在另一方面中,金属钴材料1715仅部分消耗变成硅化钴材料1720,金属钴材料1715的其余部分仍为金属钴材料1730(图17E)。在一示例中,金属钴材料1715的沉积处理和退火处理在同一处理室中进行,例如ALD室、CVD室、或PVD室。在另一示例中,金属钴材料1715在处理室中沉积,而退火处理在退火室中进行。
[0214] 在一实施例中,如图17D所示,处理1900包括在基板1700上沉积硅化钴材料1720(步骤1920)。硅化钴材料1720可在ALD室、CVD室、或PVD室中沉积。
[0215] 沉积硅化钴材料与金属钴材料
[0216] 图18示出集成式多室基板处理系统,其适于执行在此所述的至少一沉积与退火处理实施例。预清洗、沉积、和退火处理可在多室处理系统或具有至少一ALD室、至少一CVD室、至少一PVD室、或至少一退火室的群集式工具中进行。可选用的处理系统例如为美国加州圣克拉拉市的应用材料公司的市场上可购买的的 处理系统。
[0217] 图18为处理平台系统1835的一实施例的俯视图,包括两个传输室1848、1850、分别置于传输室1848、1850的传输机械手1849、1851、和多个位于两个传输室1848、1850上的处理室1836、1838、1840、1841、1842、1843。通行室1852分隔开第一传输室1848与第二传输室1850,其可包含冷却室或预热室。当第一传输室1848与第二传输室1850在不同压力下操作时,可在搬运基板期间抽气或通气至通行室1852。例如,第一传输室1848可在介于约100毫托至约5托(如约400毫托)的压力下操作,第二传输室1850可在介于为约1×10-5托至约1×10-8托(如约1×10-7托)的压力下操作。处理平台系统1835可藉由程
序化微处理控制器1854而自动化。基板可在处理平台系统1835的各个处理室之间传送,而不会破坏真空或是将基板暴露于其它外部环境条件。
[0218] 第一传输室1848可耦合两个除气室1844、两个负载锁定室1846、和通行室1852。第一传输室1848还可包括反应性预洗室1842、和处理室1836,例如ALD室或CVD室。预洗室1842可为美国加州圣克拉拉市的应用材料公司的市场上可购买的PreClean II处理室。
基板(未示出)经由负载锁定室1846装载至处理平台系统1835。随后,基板分别在除气室1844及预洗室1842内依次进行除气及清洗。传输机械手1849在除气室1844与预洗室
1842之间移动基板。基板接着可传送到处理室1836。在一实施例中,除气室1844可在退火处理期间使用。
[0219] 第二传输室1850耦合处理室1838、1840、1841、1843的群集。在一示例中,处理室1838、1840可为ALD室,用以沉积如硅化钴、金属钴、或钨等操作员所需的材料。在另一示例中,处理室1838、1840可为CVD室,用以沉积如钨等操作员所需的材料。适合的CVD室的例TM
子包括美国加州圣克拉拉市的应用材料公司的市场上可购买的WXZ 处理室。CVD室可利用ALD技术及传统CVD技术来沉积材料。处理室1841、1843可为快速热退火(RTA)室或快速热处理(RTP)室,其可在低压或极低压力下退火处理基板。RTA室的一示例为美国加州圣克拉拉市的应用材料公司的市场上可购买的 处理室。或者,处理室1841、1843
TM
可为能进行高温CVD沉积处理、退火处理、或原位进行沉积与退火处理的WXZ 处理室。经PVD处理的基板从传输室1848经由通行室1852移至传输室1850。然后,传输机械手1851在或多个处理室1838、1840、1841、1843之间移动基板,以进行所需的沉积与退火处理。
[0220] RTA室(未示出)还可设在处理平台系统1835的第一传输室1848上,以在基板移出处理平台系统1835或传送到第二传输室1850前,进行沉积后退火处理。在一示例中,基板可在处理系统1835中的各腔室之间传送而不会破坏真空。
[0221] 尽管未示出,亦可设置多个真空泵而流体连通各传输室和各处理室,以独立调节各室的压力。泵可在整个设备中建立从负载锁定室至处理室升压的真空梯度。
[0222] 或者,等离子体蚀刻室(如美国加州圣克拉拉市的应用材料公司制造的 (去耦合等离子体源)处理室)可耦合至处理平台系统1835或位于独立的处理系统,以在气相沉积、退火处理沉积的含钴材料后、或在自对准硅化处理形成硅化物期间,蚀刻基板表面来移除多余的材料。例如在利用退火处理以从钴材料与硅材料形成硅化钴的过程中,蚀刻室可用来移除基板表面上多余的钴材料。本发明实施例还包含采用其它蚀刻处理及设备,例如结合用于在此所述的处理及设备的湿法蚀刻室。
[0223] 在一实施例中,基板1700首先暴露于除气处理约5分钟或更短(例如约1分钟),并将基板1700加热到约250℃至约400℃(例如约350℃)。除气处理更可包括维持基板在约1×10-7托至约1×10-5托的减压真空下,例如约5×10-6托。除气处理移除挥发性表面污染物,例如水蒸气、溶剂、或挥发性有机化合物。
[0224] 如图17D所述,硅化钴材料1720可利用CVD处理、ALD处理、或其组合形成。一般而言,ALD处理的单一循环包括使基板1700依次接触钴前体与硅前体以形成硅化钴材料1720。重复ALD处理循环,直到硅化钴材料1720达到预期厚度。
[0225] 硅化钴材料1720的厚度可取决于要制作的器件结构而定。在一实施例中,硅化钴材料1720的厚度小于300埃,较佳为约5埃至约200埃,更佳为约10埃至约100埃,再佳为约15埃至约50埃,又更佳为约25埃至约30埃。金属钴材料1715或1730的厚度可为约5埃至约300埃,较佳为约10埃至约100埃,更佳为约20埃至约70埃,再佳为约40埃至约50埃,例如约45埃。
[0226] 在一实施例中,ALD室或基板1700可加热至约500℃以下,较佳为约100℃至约450℃,更佳为约150℃至约400℃,例如约300℃。相当低的沉积温度极为有利,因为如前所述,随着温度高于400℃,器件遭破坏的风险将大幅提高(尤其是采用低k材料时)。
[0227] 利用CVD或ALD形成含钴材料
[0228] 本发明实施例提出利用诸如ALD、PE-ALD、CVD、和PE-CVD等各种气相沉积处理而将含钴材料沉积至基板上的方法。等离子体辅助(PE)处理可原位或利用远程等离子体源(RPS)产生等离子体。含钴材料包括在此所述的硅化钴材料1720、和金属钴材料1715、1730。在一实施例中,在ALD处理期间依次使基板接触试剂与钴前体,可将含钴材料沉积至基板上。在一实施例中,使用硅前体作为试剂,以形成如硅化钴材料1720的含钴材料。在另一实施例中,使用至少一还原剂作为试剂,以形成如金属钴材料1715、1730的含钴材料。
[0229] 在一实施例中,含钴材料可在PE-ALD处理期间形成,该处理具有固定的试剂气体流量并相继脉冲提供钴前体与等离子体。在另一实施例中,含钴材料可在另一PE-ALD处理期间形成,其相继脉冲提供钴前体与试剂等离子体。在两个实施例中,试剂在处理期间通常被离子化。此外,PE-ALD处理采用的等离子体可在处理室外产生,例如由RPS系统产生;或较佳地,等离子体可在能形成等离子体的处理室中原位产生。PE-ALD处理期间,等离子体可由微波(MW)频率产生器或射频(RF)产生器产生。在一较佳实施例中,原位等离子体可由RF产生器产生。在又一实施例中,含钴材料可在热ALD处理期间形成,其相继脉冲提供钴前体与试剂。
[0230] 可用于所述实施例的ALD处理室例如由美国加州圣克拉拉市的应用材料公司提供。ALD处理室的详细说明可参见共同受让的美国专利证书No.6,916,398与
6,878,206;共同受让的美国专利申请案No.10/281,079、2002年10月25日提交且公开号为US 2003-0121608的申请案;和共同受让的美国专利申请案No.11/556,745(10429)、
11/556,752(10429.02)、11/556,756(10429.03)、11/556,758(10429.04)、
11/556,763(10429.05)、题为“用于等离子体辅助原子层沉积的设备及处理(Apparatus and Process for Plasma-Enhanced Atomic LayerDoposition)”、2006年11月6日提交的申请案,其结合于此以供参考。在另一实施例中,可采用ALD模式与传统CVD模式操作的处理室可用来沉积含钴材料,其在共同受让的美国专利申请案No.10/712,690(APPM/6776)、
2003年11月13日提交且公告为美国专利No.7,204,886的申请案,其结合于此以供参考。
用于形成含钴材料的ALD处理另在共同受让的美国专利申请案No.10/443,648(5975)、
2003年5月22日提交且公开号为US 2005-0220998的申请案;和共同受让的美国专利申请案No.10/634,662(5975.P1)、2003年8月4日提交且公开号为US 2004-0105934的申请案,其皆结合于此以供参考。在其它实施例中,可采用ALD模式与传统CVD模式操作以沉积含钴材料的处理室可为美国加州圣克拉拉市的应用材料公司提供的TXZ喷洒头和CVD室。
[0231] ALD处理期间可加压处理室使压力达约0.1托至约80托,较佳为约0.5托至约10托,更佳约1托至约5托。此外,处理室或基板可加热至约500℃以下,较佳为约100℃至约450℃,更佳为约150℃至约400℃,例如约300℃。PE-ALD处理期间,等离子体在原位等离子体处理用的处理室中点燃;或者,等离子体可由如RPS系统的外部源形成。等离子体可由MW产生器产生,但最好由RF产生器产生。RF产生器的频率可设为约100kHz至约60MHz。
在一实施例中,频率设为13.56MHz的RF产生器可输出约100瓦至约1000瓦的功率,较佳为约250瓦至约600瓦,更佳为约300瓦至约500瓦。在一实施例中,频率设为400kHz的RF产生器可输出约200瓦至约2000瓦的功率,较佳为约500瓦至约1500瓦。基板表面可
2 2 2
接触的等离子体密度为约0.01瓦/平方厘米(W/cm)至约10.0W/cm,较佳为约0.05W/cm
2
至约6.0W/cm。
[0232] 基板例如为具有互连图案限定在其上的一层或多层介电材料层内的硅基板。在一实施例中,基板包括介电表面。诸如温度、压力等处理室条件可加以调整以增强处理气体吸附至基板,进而促进吡咯基(pyrrolyl)钴前体与试剂气体反应。
[0233] 在一实施例中,基板可在整个ALD处理循环过程暴露于试剂气体。基板可接暴露于通过使载气(如氮气或氩气)流过钴前体安瓿组成的钴前体气体。安瓿可取决于处理使用的钴前体而加热。在一实施例中,含羰基钴化合物(如(CO)xCoyLz,其中x、y、z、及L将说明在下)或含酰胺基钴化合物(如(RR’N)xCo)的安瓿可加热至约30℃至约500℃。钴前体气体的流速通常为约100sccm至约2000sccm,较佳为约200sccm至约1000sccm,更佳为约300sccm至约700sccm,例如约500sccm。钴前体气体和试剂气体可组成沉积气体。试剂气体的流速通常为约100sccm至约3000sccm,较佳为约200sccm至约2000sccm,更佳为约500sccm至约1500sccm。在一实施例中,硅烷可作为试剂气体,其流速为约1500sccm。基板可暴露于钴前体气体、或含有钴前体与试剂气体的沉积气体,达约0.1秒至约8秒,较佳为1秒至约5秒,更佳为约2秒至约4秒。一旦钴前体吸附至基板,即可停止流入钴前体气体。钴前体可为非连续层、连续层、或甚至为多层。
[0234] 停止流入钴前体气体后,基板和处理室可暴露于净化步骤。试剂气体的流速在净化时可维持不变或依在前步骤调整。较佳地,试剂气体的流速仍维持前一步骤的流速。可任选地,净化气体引进处理室的流速可为约100sccm至约2000sccm,较佳为约200sccm至约1000sccm,更佳为约300sccm至约700sccm,例如约500sccm。净化步骤移除了处理室中多余的钴前体和其它污染物。净化步骤可进行约0.1秒至约8秒,较佳为约1秒至约5秒,更佳为约2秒至约4秒。载气、净化气体、和处理气体可包含氮气、氢气、氩气、氖气、氦气、或其混合物。在一较佳实施例中,载气包含氮气。
[0235] 随后,试剂气体在点燃等离子体前的流速可维持不变或加以调整。基板暴露于等离子体中的时间可为约0.1秒至约20秒,较佳为约1秒至约10秒,更佳为约2秒至约8秒。接着可关闭等离子体电源。在一示例中,试剂可为硅烷、氮气、氢气、或其混合物,以形成硅烷等离子体、氮等离子体、氢等离子体、或混合等离子体。试剂等离子体与吸附至基板的钴前体反应以形成含钴材料。在一实施例中,试剂等离子体(如氢等离子体)用来形成金属钴材料。但各种试剂也可用来形成不同组成的含钴材料。在一实施例中,含硼试剂化合物(例如两个硼烷)可用来形成含有硼化物的含钴材料。在一较佳实施例中,硅前体(例如硅烷或二硅烷)用来形成硅化钴材料。
[0236] 处理室可暴露于第二净化步骤,以移除前一步骤中多余的前体或污染物。试剂气体的流速在净化时可维持不变或依前一步骤调整。可任选的净化气体引进处理室的流速可为约100sccm至约2000sccm,较佳为约200sccm至约1000sccm,更佳为约300sccm至约700sccm,例如约500sccm。第二净化步骤可进行约0.1秒至约8秒,较佳为约1秒至约5秒,更佳为约2秒至约4秒。
[0237] 重复ALD处理循环,直到沉积在基板上的含钴材料达到预期厚度。在一实施例中,硅化钴层的厚度为约5埃,金属钴层的厚度为约10埃。在另一实施例中,硅化钴层的厚度为约30埃,金属钴层的厚度为约50埃。在此所述的处理沉积含钴材料的速率可至少维持为约0.15埃/循环,较佳至少为约0.25埃/循环,更佳至少为约0.35埃/循环或更快。在又一实施例中,所述处理克服了先前技术中成核延迟(nucleation delay)相关的缺点。许多沉积含钴材料的实验并未发觉成核延迟。
[0238] 在另一实施例中,含钴材料可在另一PE-ALD处理期间形成,其相继使基板暴露于钴前体与活化试剂(如试剂等离子体)的脉冲。基板可暴露于通过使载气流过钴前体安瓿所形成的钴前体气体。钴前体气体的流速通常为约100sccm至约2000sccm,较佳为约200sccm至约1000sccm,更佳为约300sccm至约700sccm,例如约500sccm。基板可暴露于含有钴前体与试剂气体的沉积气体,达约0.1秒至约8秒,较佳为约1秒至约5秒,更佳为约2秒至约4秒。一旦钴前体吸附至基板,即可停止流入钴前体气体。钴前体可为非连续层、连续层、或甚至为多层。
[0239] 其次,将基板和处理室暴露于净化步骤。净化气体可在净化期间被引入处理室。在一方面中,净化气体为试剂气体,例如氨气、氮气、或氢气。在另一方面中,净化气体可不同于试剂气体。例如,试剂气体可为氨气,而净化气体可为氮气、氢气、或氩气。净化气体的流速可为约100sccm至约2000sccm,较佳为约200sccm至约1000sccm,更佳为约300sccm至约700sccm,例如约500sccm。净化步骤移除了处理室中多余的钴前体和其它污染物。净化步骤可进行约0.1秒至约8秒,较佳为约1秒至约5秒,更佳为约2秒至约4秒。载气、净化气体、和处理气体可包含氮气、氢气、氩气、氖气、氦气、或其混合物。
[0240] 基板和吸附其上的钴前体可在ALD处理的下一步骤期间暴露于试剂气体。可任选地,载气可与试剂气体同时引入处理室。试剂气体可激发变成等离子体。试剂气体的流速通常为约100sccm至约3000sccm,较佳为约200sccm至约2000sccm,更佳为约500sccm至约1500sccm。在一实施例中,硅烷可作为试剂气体,其流速为约1500sccm。基板暴露于等离子体中的时间可为约0.1秒至约20秒,较佳为约1秒至约10秒,更佳为约2秒至约8秒。接着可关闭等离子体电源。在一实施例中,试剂可为硅烷、二硅烷、氮气、氢气、或其混合物,而等离子体可为硅烷等离子体、氮等离子体、氢等离子体、或混合等离子体。试剂等离子体与吸附至基板的钴前体反应在其上形成含钴材料。较佳地,试剂等离子体用来形成硅化钴材料和金属钴材料。但各种试剂也可用来形成不同组成的含钴材料。
[0241] 处理室可暴露于第二净化步骤,以移除处理室中多余的前体或污染物。若试剂气体作为净化气体,则试剂气体可在前一步骤终了时停止流入,再在净化步骤时开始流入。或者,不同于试剂气体的净化气体可被引入处理室。试剂气体或净化气体的流速可为约
100sccm至约2000sccm,较佳为约200sccm至约1000sccm,更佳为约300sccm至约700sccm,例如约500sccm。第二净化步骤可进行约0.1秒至约8秒,较佳为约1秒至约5秒,更佳为约2秒至约4秒。
[0242] 重复ALD处理循环,直到沉积在基板上的含钴材料达到预期厚度。含钴材料的厚度可为小于约1000埃,较佳为小于约500埃,更佳为约10埃至约100埃,例如约30埃。在此所述的处理沉积含钴材料的速率可至少维持为约0.15埃/循环,较佳至少为约0.25埃/循环,更佳至少为约0.35埃/循环或更快。在又一实施例中,所述处理克服了先前技术中成核延迟相关的缺点。许多沉积含钴材料的实验并未发觉成核延迟。
[0243] 前体的重要特性之一为具有适当的蒸气压。沉积前体在周围温度与压力下可包括气态、液态或固态。然而在CVD室或ALD室中,前体通常挥发成气体或等离子体。前体一般在输送到处理室前会先加热。虽然有许多变量会影响CVD处理或ALD处理形成含钴材料的沉积速率,但钴前体上的配体(ligand)大小是达到预期沉积速率的主要因素之一。配体大小决定了挥发特定钴前体所需的温度与压力。再者,钴前体的位阻与配体的大小成比例。一般而言,配体越大,位阻越大。故当基板暴露于前体时,相较在具有较少的过大配体的前体,具有较大配体的前体可能在反应进行一半时即吸附至表面。位阻作用限制了前体吸附在表面的量。因此藉由降低配体的位阻,可形成分子较密集的钴前体单层。因表面吸附越多前体,沉积速率通常越快,故整体沉积速率正比于吸附在表面的前体量。含有较小官能团(如氢基或甲基)的配体一般具有比含有较大官能团(如芳香基)的配体还小的位阻。另外,配体主体的位置会影响前体的位阻。
[0244] 在一些实施例中,钴前体和试剂可在热ALD处理或PE-ALD处理期间依次引入处理室。或者在其它实施例中,钴前体和试剂可在热CVD处理、脉冲式CVD处理、PE-CVD处理、或脉冲式PE-CVD处理期间同时引入处理室。在其它实施例中,钴前体可在热CVD处理、脉冲式CVD处理、PE-CVD处理、或脉冲式PE-CVD处理期间引入处理室,而不引进试剂。
[0245] 在其它实施例中,基板可在CVD处理、PE-CVD处理、或脉冲式PE-CVD处理期间暴露于含有至少一钴前体气体与硅前体的沉积气体,以形成硅化钴材料。基板可暴露于通过使载气(如氮气或氩气)流过钴前体安瓿所形成的钴前体气体。同样,硅前体气体可藉由使载气流过硅前体安瓿而形成。安瓿可取决于处理使用的钴前体和硅前体来加热。在一实施例中,含羰基钴化合物(如(CO)xCoyLz)或含酰胺基钴化合物(如(R2N)xCo)的安瓿可加热达约30℃至约500℃。钴前体气体的流速通常为约100sccm至约2000sccm,较佳为约200sccm至约1000sccm,更佳为约300sccm至约700sccm,例如约500sccm。钴前体气体和硅前体气体可结合成沉积气体。硅前体气体(如SiH4或Si2H6)的流速通常为约100sccm至约3000sccm,较佳为约200sccm至约2000sccm,更佳为约500sccm至约1500sccm。在一实施例中,硅烷作为硅前体,其流速为约1500sccm。在另一实施例中,二硅烷作为硅前体,其流速为约1200sccm。基板暴露于含有钴前体气体与硅前体气体的沉积气体的时间可为约0.1秒至约120秒,较佳为约1秒至约60秒,更佳为约5秒至约30秒。
[0246] 上述处理可在沉积时点燃等离子体而达到等离子体加强的效果。等离子体源可为CVD室内的原位等离子体源、或位于CVD室外的RPS。含有钴前体气体与硅前体气体的处理气体可在脉冲式CVD处理期间伴随净化气体或不随净化气体相继脉冲提供至CVD室。在一示例中,基板加热至预期温度,且前体在热CVD处理期间反应形成硅化钴材料。在另一示例中,等离子体持续点燃,处理气体则脉冲提供至处理室,且基板暴露于处理气体脉冲。或者在又一示例中,等离子体的点燃可以是脉冲式的,并将处理气体稳定提供至处理室,且基板暴露于流入的处理气体。
[0247] 在其它实施例中,基板可在CVD处理、PE-CVD处理、或脉冲式PE-CVD处理期间同时暴露于钴前体气体与还原剂,以形成金属钴材料。基板可暴露于通过使载气(如氮气或氩气)流过钴前体安瓿所形成的钴前体气体。同样地,还原剂气体可藉由使载气流过还原剂安瓿而形成。安瓿可取决于处理使用的钴前体和还原剂加热。在一示例中,含羰基钴化合物(如(CO)xCoyLz)或含酰胺基钴化合物(如(R2N)xCo)的安瓿可加热达约30℃至约500℃。钴前体气体的流速通常为约100sccm至约2000sccm,较佳为约200sccm至约1000sccm,更佳为约300sccm至约700sccm,例如约500sccm。钴前体气体和还原剂气体可结合成沉积气体。还原剂气体的流速通常为约100sccm至约3000sccm,较佳为约200sccm至约2000sccm,更佳为约500sccm至约1500sccm。在一示例中,氢气作为还原剂,其流速为约200sccm。在另一示例中,两个硼烷作为还原剂,其流速为约800sccm。基板暴露于含有钴前体气体与还原剂气体的沉积气体的时间可为约0.1秒至约120秒,较佳为约1秒至约60秒,更佳为约
5秒至约30秒。
[0248] 上述处理可在沉积时点燃等离子体而达到等离子体加强的效果。等离子体源可为CVD室内的原位等离子体源、或位于CVD室外的RPS。含有钴前体气体与还原剂气体的处理气体可在脉冲式CVD处理期间伴随净化气体或不随净化气体相继脉冲提供至CVD室。在一实施例中,基板加热至预期温度,且前体在热CVD处理期间反应形成金属钴材料。在另一实施例中,等离子体持续点燃,处理气体则脉冲提供至处理室,且基板暴露于处理气体脉冲。或者在又一实施例中,等离子体的点燃可以是脉冲式的,并将处理气体稳定提供至处理室,且基板暴露于流入的处理气体。
[0249] 在又一实施例中,硅化钴材料在气相沉积处理期间沉积到含硅的基板表面,金属钴材料利用另一气相沉积处理沉积其上。较佳地,硅化钴材料和金属钴材料在同一CVD室中沉积。在一方面中,硅化钴层可藉由在CVD处理期间一起流入钴前体与硅前体沉积。其次,停止使硅前体流入至CVD室内,但仍持续流入钴前体,藉以在硅化钴材料上沉积金属钴材料。还原剂(如氢气)可伴随钴前体一起流入。或者,钴前体可在CVD处理期间由热分解处理或等离子体处理还原。
[0250] 适用于沉积处理(例如CVD或ALD)以形成含钴材料(例如硅化钴或金属钴)的钴前体包括羰基钴络合物、脒基钴(cobalt amidinate)化合物、二茂钴(cobaltocene)化合物、二烯基钴络合物、亚硝酰基(nitrosyl)钴络合物、其衍生物、其络合物、其等离子体、或其混合物。
[0251] 在一实施例中,钴前体较佳为羰基钴络合物。羰基钴络合物的化学通式为(CO)xCoyLz,其中x为1、2、3、4、5、6、7、8、9、10、11、或12;y为1、2、3、4、或5;z为1、2、3、4、5、6、7、或8。L为缺少的单配基、或为相同或不同的多个配基,且包括环戊二烯基、烷基环戊二烯基(如甲基环戊二烯基或五甲基环戊二烯基)、戊二烯基、烷基戊二烯基、环丁二烯基、丁二烯基、亚乙基、烯丙基(或亚丙基)、烯烃、二烯烃、炔烃、双亚乙基、丁基双亚乙基、亚硝酰基、氨基、其衍生物、其络合物、其等离子体、或其组合。一些羰基钴络合物的例子包括二羰基环戊二烯钴(CpCo(CO)2)、三羰基烯丙基钴((CO)3Co(CH2CH=CH2))、t
六羰基丁基双亚乙基二钴(CCTBA,(CO)6Co2(HC≡CBu))、六羰基甲基丁基双亚乙基二钴t
((CO)6Co2(MeC≡CBu))、六羰基苯基双亚乙基二钴((CO)6Co2(HC≡CPh))、六羰基甲基苯基双亚乙基二钴((CO)6Co2(MeC≡CPh))、六羰基甲基双亚乙基二钴((CO)6Co2(HC≡CMe))、六羰基二甲基双亚乙基二钴((CO)6Co2(MeC≡CMe))、其衍生物、其络合物、其等离子体、或其组合。
[0252] 在另一实施例中,钴前体较佳为脒基钴或酰胺基钴络合物。酰胺基钴络合物的化学通式为(RR’N)xCo,其中x为1、2、或3;R和R’各自独立地为氢、甲基、乙基、丙基、丁基、烷基、甲硅烷基、烷基甲硅烷基、其衍生物、或它们的组合。一些酰胺基钴络合物的例子包括二(二(丁基二甲基甲硅烷基)酰胺基)钴(((BuMe2Si)2N)2Co)、二(二(乙基二甲基甲硅烷基)酰胺基)钴(((EtMe2Si)2N)2Co)、二(二(丙基二甲基甲硅烷基)酰胺基)钴
(((PrMe2Si)2N)2Co)、二(二(三甲基甲硅烷基)酰胺基)钴(((Me3Si)2N)2Co)、三(二(三甲基甲硅烷基)酰胺基)钴(((Me3Si)2N)3Co)、其衍生物、其络合物、其等离子体、或它们的组合。
[0253] 其它钴前体的例子包括二羰基甲基环戊二烯钴(MeCpCo(CO)2)、二羰基乙基环戊二烯钴(EtCpCo(CO)2)、二羰基五甲基环戊二烯钴(Me5CpCo(CO)2)、八羰基二钴(Co2(CO)8)、三(羰基)亚硝酰钴((ON)Co(CO)3)、双环戊二烯钴、环己二烯基环戊二烯钴、1,3-己二烯基环戊二烯钴、环戊二烯基环丁二烯钴、二(甲基环戊二烯)钴、(5-甲基环戊二烯基)环戊二烯钴、(五甲基环戊二烯基)二亚乙基钴、四碳酰碘钴(tetracarbonyl iodide)、四羰基三氯硅烷钴、碳酰氯三(三甲基膦)钴、三羰基-氢化三丁基膦钴(cobalt tricarbonyl-hydrotributylphosphine)、六羰基双亚乙基二钴、五羰基三乙基膦双亚乙基二钴、其衍生物、其络合物、其等离子体、或它们的组合。
[0254] 适用于沉积处理(例如CVD或ALD)以形成含钴材料(例如硅化钴)的硅前体包括硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、二甲基硅烷(SiC2H8)、甲基硅烷(SiCH6)、乙基硅烷(SiC2H8)、氯硅烷(ClSiH3)、二氯硅烷(Cl2SiH2)、四氯硅烷(Cl4Si)、六氯二硅烷(Si2Cl6)、其等离子体、其衍生物、或它们的组合。
[0255] 其它适用于所述处理以形成含钴材料(例如硅化钴或金属钴)的试剂(包含还原剂)包括氢(H2或H原子)、N原子、氨(NH3)、联胺(N2H4)、硼烷(BH3)、二硼烷(B2H6)、三硼烷、四硼烷、五硼烷、三乙基硼烷(Et3B)、膦(PH3)、其衍生物、其等离子体、或其组合。
[0256] 钴前体脉冲的时距(time interval)取决于许多因素变化,例如选用的处理室体积容量、连接的真空系统、和ALD处理采用的反应物挥发性/反应性。例如,(1)大容积的处理室需要较长的时间来稳定诸如载气/净化气体流量与温度等处理条件,因而需要较长的脉冲时间;(2)处理气体的流速较低亦需要较长的时间来稳定处理条件,因而需要较长的脉冲时间;(3)室压较低表示处理气体较快排出处理室,故需要较长的脉冲时间。一般而言,处理条件有利地选定,而使钴前体脉冲提供足够的前体,并使至少一钴前体单层吸附在基板上。然后,结合使用固定的载气气流与真空系统可将处理室中多余的钴前体移出处理室。
[0257] 钴前体脉冲和试剂气体脉冲的时距可具有相同的时距。也就是说,钴前体脉冲的持续时间与试剂气体脉冲的持续时间相同。在此实施例中,钴前体脉冲的时距(T1)等于试剂气体脉冲的时距(T2)。
[0258] 或者,钴前体脉冲和试剂气体脉冲的时距可具有不同的持续时间。即,钴前体脉冲的持续时间比试剂气体脉冲的持续时间短或长。在此实施例中,钴前体脉冲的时距(T1)不同于试剂气体脉冲的时距(T2)。
[0259] 另外,各钴前体脉冲和试剂气体脉冲间的非脉冲时期可具有相同的持续时间。即,各钴前体脉冲与各试剂气体脉冲间的非脉冲时期持续时间是一样的。在此实施例中,钴前体脉冲与试剂气体脉冲间的非脉冲时距(T3)等于试剂气体脉冲与钴前体脉冲间的非脉冲时距(T4)。在非脉冲期间,仅提供固定的载气气流至处理室。
[0260] 或者,各钴前体脉冲和试剂气体脉冲间的非脉冲时期可具有不同的持续时间。即,各钴前体脉冲与各试剂气体脉冲间的非脉冲时期持续时间比各试剂气体脉冲与各钴前体脉冲间的非脉冲时期持续时间短或长。在此实施例中,钴前体脉冲与试剂气体脉冲间的非脉冲时距(T3)不同于试剂气体脉冲与钴前体脉冲间的非脉冲时距(T4)。在非脉冲期间,仅将固定的载气气流提供至处理室。
[0261] 另外,每个沉积循环的各钴前体脉冲、试剂气体脉冲、和其间的非脉冲期间的时距可具有相同的持续时间。在此实施例中,钴前体脉冲的时距(T1)、试剂气体脉冲的时距(T2)、钴前体脉冲与试剂气体脉冲间的非脉冲时距(T3)、和试剂气体脉冲与钴前体脉冲间的非脉冲时距(T4)在各沉积循环中具有相同的值。例如在第一沉积循环(C1)中,钴前体脉冲的时距(T1)与后续沉积循环(C2...Cn)中钴前体脉冲的时距(T1)的持续时间相同。同样地在第一沉积循环(C1)中,试剂气体脉冲的时距、和钴前体脉冲与试剂气体脉冲间的非脉冲时距与后续沉积循环(C2...Cn)中试剂气体脉冲的时距、和钴前体脉冲与试剂气体脉冲间的非脉冲时距的持续时间相同。
[0262] 或者在含钴材料沉积处理的一个或多个沉积循环中,至少一钴前体脉冲、试剂气体脉冲、和其间的非脉冲期间的时距可具有不同的持续时间。在此实施例中,一个或多个钴前体脉冲的时距(T1)、试剂气体脉冲的时距(T2)、钴前体脉冲与试剂气体脉冲间的非脉冲时距(T3)、和试剂气体脉冲与钴前体脉冲间的非脉冲时距(T4)在循环沉积处理的一个或多个沉积循环中具有不同的值。例如在第一沉积循环(C1)中,钴前体脉冲的时距(T1)比后续沉积循环(C2...Cn)中钴前体脉冲的时距(T1)的持续时间短或长。同样地在第一沉积循环(C1)中,试剂气体脉冲的时距、和钴前体脉冲与试剂气体脉冲间的非脉冲时距可与后续沉积循环(C2...Cn)中试剂气体脉冲的时距、和钴前体脉冲与试剂气体脉冲间的非脉冲时距的持续时间相同或不同。
[0263] 在一些实施例中,藉由交替脉冲时期与非脉冲时期,可向处理室供应固定流量的载气或净化气体,其中在脉冲时期交替提供钴前体与试剂气体并伴随流入载气/净化气体,而在非脉冲时期仅流入载气/净化气体。
[0264] 利用CVD或ALD循环过程形成含钴材料
[0265] 在其它实施例中,含钴材料可利用相继使基板暴露于沉积处理与等离子体处理处理的循环过程形成。循环过程也可包含浸渍处理和净化步骤。在一实施例中,循环过程的单一循环可包括使基板暴露于沉积气体、净化处理室、等离子体处理基板、可任选净化处理室、使基板暴露于浸渍处理、以及净化处理室。在另一实施例中,循环过程的单一循环可包括使基板暴露于沉积气体、净化处理室、等离子体处理基板、以及净化处理室。循环过程可在进行一次循环后即刻终止,但通常是进行多次直到沉积在基板上的含钴材料达预期厚度。
[0266] 20示出的处理2000是用来形成含钴材料,例如硅化钴材料。在一实施例中,处理2000包括使基板暴露于沉积气体以形成硅化钴材料(步骤2010)、净化沉积室(步骤2020)、使基板暴露于等离子体处理处理(步骤2030)、可任选净化沉积室(步骤2040)、使基板暴露于浸渍处理(步骤2050)、净化沉积室(步骤2060)、以及判断基板上的硅化钴材料是否达预期厚度(步骤2070)。若硅化钴材料未达预期厚度,则可重复步骤2010-2070。
或者当硅化钴材料达预期厚度时,可终止处理2000。
[0267] 21示出的处理2100是用来形成含钴材料,例如金属钴材料。在一实施例中,处理2100包括使基板暴露于沉积气体以形成金属钴材料(步骤2110)、净化沉积室(步骤2120)、使基板暴露于等离子体处理处理(步骤2130)、净化沉积室(步骤2140)、以及判断基板上的金属钴材料是否达预期厚度(步骤2150)。若金属钴材料未达预期厚度,则可重复步骤2110-2150。或者当金属钴材料达预期厚度时,可终止处理2100。
[0268] 22示出的处理2200是用来形成含钴材料,例如硅化钴材料。在一实施例中,处理2200包括可任选使基板暴露于预处理处理(步骤2210)、使基板暴露于含硅还原气体(步骤2220)、使基板暴露于氢等离子体与含硅还原气体(步骤2230)、使基板暴露于含硅还原气体但不暴露于等离子体(步骤2240)、使基板暴露于钴前体与含硅还原气体(步骤2250)、以及判断基板上的硅化钴材料是否达预期厚度(步骤2260)。若硅化钴材料未达预期厚度,则可重复步骤2210-2260。或者当硅化钴材料达预期厚度时,可终止处理2200。在一实施例中,可可任选使基板暴露于后处理,例如在步骤2270期间进行热退火处理或等离子体处理。
[0269] 在处理2200之一实施例中,含硅还原气体可持续流入处理室,而氢等离子体和钴前体可相继脉冲提供至处理室。在一实施例中,23为硅化钴沉积处理(例如处理2200)期间所用的各种化学物种或化学前体的时序图。含有硅前体的含硅还原气体可包含载气(例如氢气或氩气),其自沉积循环开始时间(t0)至第一次沉积循环终止时间(t4)及至第二次沉积循环终止时间(t8)内仍保持开启(ON)。含硅还原气体可作为净化气体和浸渍气体。当基板暴露于含硅还原气体时,氢等离子体和钴前体可相继脉冲提供至处理室并暴露于基板。例如,基板在t0-t1、t2-t3、t4-t5、和t6-t7期间只暴露于含硅还原气体,在t1-t2和t5-t6期间暴露于氢等离子体,在t3-t4和t7-t8期间暴露于钴前体。
[0270] 基板可在t0-t1、t2-t3、t4-t5、或t6-t7期间暴露于含硅还原气体,其中各时段可达约0.5秒至约10秒,较佳为约1秒至约5秒,更佳为约2秒至约4秒。基板可在t1-t2或t5-t6期间暴露于氢等离子体,其中各时段可达约0.5秒至约10秒,较佳为约1秒至约5秒,更佳为约2秒至约3秒。基板可在t3-t4或t7-t8期间暴露于钴前体,其中各时段可达约0.5秒至约10秒,较佳为约1秒至约5秒,更佳为约2秒至约3秒。
[0271] 在一实施例中,在基板上形成含钴材料的方法包括加热处理室中的基板达预期温度、进行用以沉积硅化钴层的沉积循环来形成硅化钴材料在基板上、以及重复进行沉积循环以形成多层硅化钴层。在一方面中,沉积循环包括使基板暴露于含硅还原气体,且使基板相继暴露于钴前体与等离子体。在另一方面中,沉积循环包括使基板暴露于包括含硅还原气体的气流,且使基板相继暴露于钴前体与等离子体,其中钴前体加入包括含硅还原气体的气流,并间隔点燃等离子体。在又一方面中,沉积循环包括使基板暴露于含硅还原气体、点燃等离子体、且使基板暴露于等离子体与含硅还原气体、熄灭等离子体、且使基板暴露于含硅还原气体、使基板暴露于钴前体与含硅还原气体、以及停止暴露于钴前体且使基板暴露于含硅还原气体。
[0272] 例如,基板可在第一时段(t3-t4或t7-t8)暴露于含硅还原气体与钴前体,各时段可达约1秒至约10秒,较佳为约2秒至约5秒。基板可在第二时段(t1-t2或t5-t6)暴露于含硅还原气体与等离子体,各时段可达约1秒至约10秒,较佳为约2秒至约5秒。基板可在暴露于钴前体之后与暴露于等离子体之前的第三时段(t0-t1或t4-t5)暴露于含硅还原气体,各时段可达约1秒至约10秒,较佳为约2秒至约4秒。并且,基板可在暴露于等离子体之后与暴露于钴前体之前的第四时段(t2-t3或t6-t7)暴露于含硅还原气体,各时段可达约1秒至约10秒,较佳为约2秒至约4秒。
[0273] 图25A-25B为根据在此所述实施例的基板2500在硅化钴沉积处理中不同阶段的截面图。基板2500包含多层交替堆栈在表面2510的硅化钴层2520和硅烷层2530(图25A)。表面2510可为各种不同材料表面,包括介电材料、阻挡材料、传导材料、但较佳为如基板表面的含硅表面。接着进行热退火处理将硅化钴层2520和硅烷层2530转变成硅化钴材料2540在基板2500上(图25B)。
[0274] 交替堆叠的硅化钴层2520和硅烷层2530可利用ALD处理或CVD处理形成。硅化钴层2520可藉由使基板在ALD处理或PE-ALD处理期间相继暴露于钴前体与硅前体而形成。或者,硅化钴层2520可藉由使基板在CVD处理或PE-CVD处理期间同时暴露于钴前体与硅前体而形成。
[0275] 在一实施例中,硅化钴层2520的硅/钴原子比例可大于0.5,较佳为大于1,更佳为介于约1至2之间。故硅化钴层2520可含有化学式为CoSix的硅化钴,其中x可为约0.5至2,较佳为约1至2。然而在另一实施例中,硅化钴层2520的硅/钴原子比例可约为1或更小,例如介于约0.1至1之间,较佳为介于约0.5至1之间。故硅化钴层2520可含有化学式为CoSix的硅化钴,其中x可为约0.1至1,较佳为约0.5至1。
[0276] 确信因硅化钴的热力性质的故,硅/钴原子比例最好约为1或更小,直到硅化钴加热达预期温度与时间且暴露于有效的硅源。随后可得硅/钴原子比例大于1(如约高达2)的硅化钴材料。
[0277] 硅烷层2530可在ALD处理或CVD处理之前、期间、或之后形成。硅烷层2530可藉由使基板在浸渍处理或处理处理期间暴露于含硅还原气体而形成。硅烷层2530含有硅氢键。
[0278] 形成硅化钴材料2540时,可使基板2500暴露于热退火处理、等离子体处理、或此两个处理。在一实施例中,硅化钴材料2540可藉由使基板2500暴露于退火处理(如RTP)而形成,其处理温度为约500℃或更高,较佳为约550℃或更高,例如约650℃至约750℃或更高。退火处理时,RTP室可包含氮气、氩气、氢气、或其混合物。在另一实施例中,硅化钴材料2540可藉由将基板2500暴露于氢等离子体而形成,其处理时间为约5秒或更久,较佳为约10秒或更久,更佳为约20秒或更久。等离子体的功率可为约800瓦至约1200瓦。在一实施例中,基板2500可暴露在约1000瓦的氢等离子体中约20秒。氢等离子体含有氢气(H2),其也可包含氮气(N2)、氩气、或其混合物。
[0279] 在一实施例中,硅化钴材料2540的硅/钴原子比例可大于0.5,较佳为大于1,更佳为介于约1至2之间。故硅化钴材料2540可含有化学式为CoSix的硅化钴,其中x可为约0.5至2,较佳为约1至2。
[0280] 所述处理(包括处理2200)具有的优点之一为减少含硅材料(例如基板或其它硅表面)的硅侵蚀。硅侵蚀(尤其是基板侵蚀)会引起接面漏电,以致最终器件因孔洞形成在含硅材料内而失效。在一些实施例中,硅化钴层2520的化学式为CoSix,其中x可为约0.1至1。由于硅化钴材料2540形成时,各硅化钴层2520间硅源(即硅烷层2530)的可用性,因此硅原子由硅烷层2530提供,而非如表面2510的硅表面。故表面2510即使消耗极少或甚至没有消耗硅,仍可形成富含硅的硅化钴材料2540(如CoSix,其中x可为约1至2)。
[0281] 含钴材料的厚度可取决于欲制作的器件结构而变化。含钴材料可形成在基板上,直到经由步骤2070、2150、和2260达到预期厚度。循环过程形成或沉积含钴材料至基板的速率可为约2埃/循环至约50埃/循环,较佳为约3埃/循环至约30埃/循环,更佳为约5埃/循环至约20埃/循环,例如约8埃/循环。在一实施例中,硅化钴材料的厚度小于约
300埃,较佳为约5埃至约200埃,更佳为约10埃至约100埃,再佳为约15埃至约50埃,又更佳为约25埃至约30埃。金属钴材料的厚度可为约5埃至约300埃,较佳为约10埃至约
100埃,更佳为约20埃至约70埃,再佳为约40埃至约50埃,例如约45埃。
[0282] 一般而言,基板暴露于沉积气体的时间可为约1秒至约60秒,较佳为约2秒至约20秒,更佳为约3秒至约10秒,例如约5秒。
[0283] 等离子体可在处理室外产生,例如由RPS系统产生;或较佳地,等离子体可在等离子体处理处理期间(如步骤2030、2130、2230、2410、2430、2450、2610、或2630)在能形成等离子体的处理室(如PE-CVD室)中原位产生。基板暴露于等离子体的时间可为约5秒至约120秒,较佳为约10秒至约90秒,更佳为约15秒至约60秒,例如约30秒。等离子体可由微波(MW)频率产生器或射频(RF)产生器产生。在一较佳实施例中,原位等离子体可由RF产生器产生。等离子体处理处理期间可加压处理室使压力达约0.1托至约80托,较佳为约0.5托至约10托,更佳约1托至约5托。此外,处理室或基板可加热至约500℃以下,较佳为约100℃至约450℃,更佳为约150℃至约400℃,例如约300℃。
[0284] PE-ALD处理期间,等离子体在原位等离子体处理用的沉积室中点燃;或者,等离子体可由如RPS系统的外部源形成。RF产生器的频率可设为约100kHz至约60MHz。在一实施例中,频率设为13.56MHz的RF产生器可输出约100瓦至约1000瓦的功率,较佳为约250瓦至约600瓦,更佳为约300瓦至约500瓦。在一实施例中,频率设为350kHz的RF产生器可输出约200瓦至约2000瓦的功率,较佳为约500瓦至约1500瓦,更佳为约800瓦
2
至约1200瓦,例如约1000瓦。基板表面可暴露于具有等离子体密度为约0.01W/cm 至约
2 2 2
10.0W/cm,较佳为约0.05W/cm 至约6.0W/cm 的等离子体。
[0285] 在一实施例中,基板可在浸渍处理(步骤2050)、预处理处理(步骤2210或2610)、后处理处理(步骤2270)、处理处理(步骤2410、2430或2450)期间暴露于浸渍处理气体。浸渍处理气体可包含至少一还原气体和载气。在一实施例中,浸渍处理气体包含至少一还原气体、氢气(H2)、和载气。在另一实施例中,处理2000结束前可在基板上进行硅浸渍处理,以在含钴材料上形成含硅薄层。在一实施例中,可点燃等离子体并使基板暴露于浸渍处理气体。硅浸渍处理可在与含钴材料沉积步骤(步骤2010)相同的处理室中原位进行。浸渍处理处理基板的时间可为约1秒至约60秒,较佳为约2秒至约30秒,更佳为约3秒至约
20秒,例如约5秒。在一实施例中,含有硅化钴的基板乃暴露于氢等离子体中(例如H2或H2/Ar)约20秒。
[0286] 适合在浸渍处理(包括预浸渍及后浸渍)、处理处理(包括预处理及后处理)、或沉积处理期间暴露于基板的硅还原气体包括硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、二甲基硅烷(SiC2H8)、甲基硅烷(SiCH6)、乙基硅烷(SiC2H8)、一氯硅烷(ClSiH3)、二氯硅烷(Cl2SiH2)、四氯硅烷(Cl4Si)、六氯二硅烷(Si2Cl6)、其等离子体、其衍生物、或其组合。在一实施例中,浸渍处理、处理过程、或沉积处理最好采用硅烷或二硅烷作为硅还原气体。其它可纳入浸渍处理气体且在浸渍处理期间暴露于基板的还原气体包括氢(H2或H原子)、N原子、氨(NH3)、联胺(N2H4)、硼烷(BH3)、二硼烷(B2H6)、三硼烷、四硼烷、五硼烷、三乙基硼烷(Et3B)、膦(PH3)、其衍生物、其等离子体、或其组合。载气可在沉积室原位或非原位地与硅还原气体结合。载气可以为氢、氩、氮、氦或其混合物。
[0287] 诸如硅还原气体等还原气体在浸渍处理期间引进沉积室的流速可为约500sccm至约2500sccm,较佳为约700sccm至约2000sccm,更佳为约800sccm至约1500sccm,例如约1000sccm。氢气在浸渍处理期间引进沉积室的流速可为约500sccm至约5000sccm,较佳为约1000sccm至约4000sccm,更佳为约2000sccm至约3500sccm,例如约3000sccm。诸如氩气、氮气、或氦气等的载气在浸渍处理期间引进沉积室的流速可为约500sccm至约2500sccm,较佳为约700sccm至约2000sccm,更佳为约800sccm至约1500sccm,例如约
1000sccm。沉积室的室压可为约100毫托至约300托。沉积室或基板在浸渍处理期间可加热至约500℃以下,较佳为约100℃至约450℃,更佳为约150℃至约400℃,例如约300℃。
[0288] 在沉积处理、等离子体处理处理、或浸渍处理之前或之后,可在可任选净化步骤2020、2040、2060、2120、和2140期间净化沉积室,且使基板在净化过程暴露于净化气体或载气。处理2000与处理2100可包括或不含任一净化步骤2020、2040、2060、2120、和2140。
在另一实施例中,在沉积处理、等离子体处理处理、或浸渍处理之前或之后,可在可任选净化步骤2220和2240期间净化沉积室,且使基板在净化过程暴露于含硅还原气体(如SiH4或Si2H6)。净化气体或载气可包括氩气、氮气、氢气、氦气、合成气体、或其混合物。引入沉积室的净化气体可包含一种气体或混合气体,且可以单一步骤或数个步骤引入。例如,沉积室可在第一段时间以氩气与氢气的混合气体净化,接着在第二段时间以氢气净化。各净化步骤可持续约0.1秒至约30秒,较佳为约0.5秒至约10秒,更佳为约1秒至约5秒,例如约2秒。净化气体或载气在净化期间引进沉积室的流速可为约500sccm至约5000sccm,较佳为约1000sccm至约4000sccm,更佳为约2000sccm至约3500sccm,例如约3000sccm。在一实施例中,沉积室可以约500sccm的氩气与约3000sccm的氢气混合的气体净化约2秒。
随后,沉积室可以约3000sccm的氢气净化约2秒。
[0289] 在另一实施例中,图24示出的处理2400包括可任选地将基板暴露于处理处理或预清洗处理处理基板(步骤2410)、在基板上沉积硅化钴材料(步骤2420)、可任选地将基板暴露于处理处理(步骤2430)、在基板上沉积金属材料(步骤2440)、以及可任选地将基板暴露于处理处理(步骤2450)。金属材料至少可包含钴、镍、铂、钯、铑、其合金、或其组合其中之一,且可以在下列一个或多个沉积处理中形成或沉积,包括ALD、PE-ALD、CVD、PE-CVD、脉冲CVD、PVD、ECP、无电电镀或其衍生处理。金属材料可在预浸渍处理或后浸渍处理期间暴露于含硅还原气体。在一些实施例中,金属材料可在预浸渍处理或后浸渍处理期间以等离子体处理。
[0290] 在又一实施例中,图26示出的处理2600包括使基板暴露于预处理处理或预清洗处理(步骤2610)、在基板上沉积硅化钴材料(步骤2620)、使基板暴露于退火处理(步骤2630)、在基板上沉积至少一阻挡材料(步骤2640)、在基板上沉积金属暴露于材料(步骤
2650)、以及使基板暴露于蚀刻处理或平坦化处理(步骤2660)。阻挡材料可包含钴、钽、氮化钽、钛、氮化钛、钨、氮化钨、其合金、或其衍生物。此外,阻挡材料可包含多层阻挡层或粘附层,例如钛/氮化钛(Ti/TiN)、钽/氮化钽(Ta/TaN)、或钨/氮化钨(W/WN)。阻挡材料可在预浸渍处理或后浸渍处理期间暴露于含硅还原气体。在一些实施例中,阻挡材料可在预浸渍处理或后浸渍处理期间以等离子体处理。
[0291] 在再一实施例中,在基板上形成含金属硅化物材料的方法包括:使基板暴露于至少一预清洗处理,以暴露含硅表面;在化学气相沉积处理或原子层沉积处理期间,在含硅表面上沉积金属硅化物材料;使基板暴露于退火处理、在金属硅化物材料上沉积阻挡材料、以及在阻挡材料上沉积钨暴露于材料。金属硅化物材料至少可包含钴、镍、铂、钯、铑、其合金、或其组合其中之一。在一些实施例中,基板、金属硅化物材料、或阻挡材料可在预浸渍处理或后浸渍处理期间暴露于含硅还原气体。在一些实施例中,基板可在预浸渍处理或后浸渍处理期间进行等离子体处理。在一实施例中,可使基板可任选地暴露于处理处理或预清洗处理、将金属硅化物材料沉积至基板、使基板可任选地暴露于处理处理、沉积金属材料或阻挡材料在金属硅化物材料上、以及使基板可任选地暴露于处理处理。
[0292] 实施例1:硅化钴材料
[0293] 在一实施例中,硅化钴材料可利用热CVD处理沉积。净化气体可流通在沉积室各处。至少一净化气体可流过沉积室,例如底部净化可使净化气体流过沉积室底部,而边缘净化可使另一净化气体流过边环。例如,底部净化可使约1000sccm的氩气流过沉积室底部,而边缘净化可使约100sccm的氩气流过边环。
[0294] 基板可加热至约350℃至约550℃,含有钴前体的安瓿可加热至约30℃。基板可暴露于含有钴前体、硅前体、氢气、和载气的沉积气体。钴前体可为羰基钴化合物(如CpCo(CO)2或CCTBA),硅前体可为硅烷或二硅烷,载气可为氩气、氮气、氢气、或其混合物。
[0295] 基板可在沉积室内加热至约400℃,含有钴前体CpCo(CO)2的安瓿可加热至约30℃。流速约500sccm的氩气载气可流经钴前体以构成钴前体气体。藉由结合钴前体气体、流速为约3000sccm的氢气、含有硅烷且流速为约1000sccm的硅前体气体、和流速为约
1000sccm的氩气载气,可形成沉积气体。基板可暴露于沉积气体约5秒,以在基板上形成硅化钴层。
[0296] 沉积室可以具有流速约500sccm的氩气与约3000sccm的氢气混合的气体净化约2秒。随后,沉积室可以约3000sccm的氢气净化约2秒。
[0297] 基板可以暴露于氢等离子体约30秒。氢等离子体可藉由流入流速约3000sccm的氢气至沉积室中并点燃等离子体而形成。等离子体可由频率设为350kHz且输出功率为约1200瓦的RF产生器点燃。
[0298] 基板可在浸渍处理期间暴露于硅还原气体约10秒。含有硅烷的硅还原气体的流速为约1000sccm,氩气的流速为约1000sccm,氢气的流速为约3000sccm。
[0299] 接着,沉积室以流速约3000sccm的氢气与约1000sccm的氩气净化约2秒,以完成第一次循环。硅化钴层的沉积厚度为约8埃。沉积循环另行重复5次,以形成50埃的硅化钴材料。
[0300] 实施例2:金属钴材料
[0301] 在另一实施例中,金属钴材料可利用热CVD处理沉积。净化气体可流通在沉积室各处。至少一净化气体可流过沉积室,例如底部净化可使净化气体流过沉积室底部,而边缘净化可使另一净化气体流过边环。例如,底部净化可使约1000sccm的氩气流过沉积室底部,而边缘净化可使约100sccm的氩气流过边环。
[0302] 基板可加热至约350℃至约550℃,含有钴前体的安瓿可加热至约30℃。基板可暴露于含有钴前体、氢气、和载气的沉积气体。钴前体可为羰基钴化合物(如CpCo(CO)2或CCTBA),载气可为氩气、氮气、氢气、或其组合。
[0303] 基板可在沉积室内加热至约400℃,含有钴前体CpCo(CO)2的安瓿可加热至约30℃。流速约500sccm的氩气载气可流经钴前体以构成钴前体气体。藉由结合钴前体气体、流速为约3000sccm的氢气、和流速为约1000sccm的氩气,可形成沉积气体。基板可暴露于沉积气体约5秒,以在基板上形成金属钴层。
[0304] 沉积室可以流速约500sccm的氩气与约3000sccm的氢气混合的气体净化约2秒。随后,沉积室可以流速约3000sccm的氢气净化约2秒。
[0305] 基板可以氢等离子体处理约30秒。氢等离子体可藉由流入流速约3000sccm的氢气至沉积室中并点燃等离子体而形成。等离子体可由频率设为350kHz且输出功率为约1200瓦的RF产生器点燃。
[0306] 接着,沉积室以流速约3000sccm的氢气与约1000sccm的氩气净化约2秒,以完成第一次循环。金属钴层的沉积厚度为约10埃。沉积循环另行重复5次,以形成60埃的金属钴材料。
[0307] 沉积金属钴材料
[0308] 图17F及17H示出的基板1700具有填入金属接触材料1740的接触开孔1710。金属接触材料1740可在步骤1040、1150、1250、1340、1440、1550、1640、或1930中的一个沉积处理或多个处理期间沉积。在另一实施例中,金属接触材料1740可在步骤2440、或2650中的一个沉积处理或多个处理期间沉积。金属接触材料1740可包含铜、钨、铝、或其合金,且可利用一个或多个适当的沉积处理形成。在一实施例中,金属接触材料1740例如可包含利用包括CVD处理、ALD处理、PVD处理、无电电镀处理、电化学电镀(ECP)处理、其衍生法、或其组合的一个或多个沉积处理形成在硅化钴材料1720或金属钴材料1730上的籽晶层和块体层。基板1700可在沉积硅化钴材料1720或金属钴材料1730前及在沉积金属接触材料1740前,施以预处理处理处理(如浸渍处理),包括对硅化钴材料1720或金属钴材料1730施以预成核浸渍处理和对籽晶层施以后成核浸渍处理。将钨材料沉积至过渡金属籽晶层上的方法将在美国专利申请No.11/009,331、2004年12月10日提交、公开号为2006-0128150的申请案中进一步描述,其结合于此以供参考。
[0309] 在一实施例中,金属接触材料1740较佳地为包含铜或铜合金。例如,铜籽晶层可利用CVD处理在硅化钴材料1720或金属钴材料1730上形成,接着铜块体层可利用ECP处理填入互连。在另一实施例中,铜籽晶层可利用PVD处理在硅化钴材料1720或金属钴材料1730上形成,接着铜块体层可利用ECP处理沉积并填入互连。在又一实施例中,铜籽晶层可利用无电电镀处理在硅化钴材料1720或金属钴材料1730上形成,接着铜块体层可利用ECP处理沉积并填入互连。在再一实施例中,硅化钴材料1720或金属钴材料1730当作籽晶层,铜块体层则利用ECP处理或无电电镀处理直接沉积填入。
[0310] 在另一实施例中,金属接触材料1740较佳为包含钨或钨合金。例如,钨籽晶层可利用ALD处理在硅化钴材料1720或金属钴材料1730上形成,接着钨块体层可利用CVD处理或脉冲式CVD处理填入互连。在另一实施例中,钨籽晶层可利用PVD处理在硅化钴材料1720或金属钴材料1730上形成,接着钨块体层可利用CVD处理或脉冲式CVD处理填入互连。在又一实施例中,钨籽晶层可利用ALD处理在硅化钴材料1720或金属钴材料1730上形成,接着钨块体层可利用ECP处理沉积并填入互连。在再一实施例中,硅化钴材料1720或金属钴材料1730当作籽晶层,钨块体层则利用CVD处理或脉冲式CVD处理直接沉积。
[0311] 在又一实施例中,金属接触材料1740较佳为包含氮化钨材料与金属钨材料、或钨合金。氮化钨层可沉积在硅化钴材料1720或金属钴材料1730上,接着至少一如钨籽晶层和钨块体层的钨材料可沉积在氮化钨层上。例如,氮化钨层可利用ALD处理在硅化钴材料1720或金属钴材料1730上形成,钨籽晶层可利用ALD处理在氮化钨层上形成,接着钨块体层可利用CVD处理或脉冲式CVD处理沉积并填入互连。在另一实施例中,氮化钨层可利用PVD处理在硅化钴材料1720或金属钴材料1730上形成,钨籽晶层可利用ALD处理在氮化钨层上形成,接着钨块体层可利用CVD处理或脉冲式CVD处理沉积并填入互连。在又一实施例中,氮化钨层可利用ALD处理在硅化钴材料1720或金属钴材料1730上形成,钨籽晶层可利用PVD处理在氮化钨层上形成,接着钨块体层可利用CVD处理或脉冲式CVD处理沉积并填入互连。
[0312] 在再一实施例中,氮化钨层可利用PVD处理在硅化钴材料1720或金属钴材料1730上形成,钨籽晶层可利用ALD处理在氮化钨层上形成,接着钨块体层可利用ECP处理沉积并填入互连。在另一实施例中,氮化钨层可利用ALD处理在硅化钴材料1720或金属钴材料1730上形成,钨籽晶层可利用PVD处理在氮化钨层上形成,接着钨块体层可利用ECP处理沉积并填入互连。在又一实施例中,氮化钨层可利用ALD处理或PVD处理沉积,钨块体填充层可利用CVD处理或脉冲式CVD处理直接沉积至氮化钨层。
[0313] 在一实施例中,如图18所示,处理平台系统1835包括多个置于传输室1848、1850的处理室1836、1838、1840、1841、1842、和1843。在一实施例中,处理室1836为用于沉积硅化钴材料的CVD室,处理室1838为用于沉积金属钴材料的CVD室,处理室1840为用于沉积阻挡层(如Ta/TaN)的ALD室,处理室1841为用于沉积钨成核层的ALD室,处理室1842为预洗室,处理室1843为用于沉积钨块体层的CVD室。退火处理可在任一处理室1836、1838、1840、1841、1842、或1843中进行。基板可传送在处理平台系统1835的处理室1836、1838、
1840、1841、1842、和1843之间而不会破坏真空或是将基板暴露于其它外部环境条件中。
[0314] 在另一实施例中,处理室1836为用于退火处理基板的退火室,处理室1838为用于沉积硅化钴材料与金属钴材料的CVD室,处理室1840为用于沉积阻挡层(如Ti/TiN)的PVD室,处理室1841为用于沉积钨成核层的ALD室,处理室1842为预洗室,处理室1843为用于沉积钨块体层的CVD室。退火处理可在任一处理室1836、1838、1840、1841、1842、或1843中进行。
[0315] 在又一实施例中,处理室1836为用于退火处理基板的退火室,处理室1838为用于沉积硅化钴材料与金属钴材料的CVD室,处理室1840为用于沉积阻挡层(如Ta/TaN)的PVD室,处理室1841为用于沉积铜成核层的PVD室,处理室1842为预洗室,处理室1843为用于沉积铜块体层的无电电镀室。退火处理可在任一处理室1836、1838、1840、1841、1842、或1843中进行。
[0316] 在再一实施例中,处理室1836为用于退火处理基板的退火室,处理室1838为用于沉积硅化钴材料与金属钴材料的CVD室,处理室1840为用于沉积阻挡层(如Ta/TaN)的ALD室,处理室1841为用于沉积钌成核层的ALD室,处理室1842为预洗室,处理室1843为用于沉积铜块体层的无电电镀室。退火处理可在任一处理室1836、1838、1840、1841、1842、或1843中进行。
[0317] 在另一实施例中,处理室1836为用于沉积硅化钴材料的ALD室,处理室1838为用于沉积金属钴材料的CVD室,处理室1840为用于沉积阻挡层(如Ta/TaN)的ALD室,处理室1841为用于沉积钌成核层的ALD室,处理室1842为预洗室,处理室1843为用于沉积铜块体层的无电电镀室。退火处理可在任一处理室1836、1838、1840、1841、1842、或1843中进行。
[0318] 退火处理
[0319] 在一实施例中,可在步骤1140、1230、1360、1450、1530、1630、或2630期间使基板1700或其它基板暴露于至少一退火处理。在其它实施例中,可在沉积硅化钴材料、金属钴材料、其它含钴材料、或金属接触材料之前、期间、或之后,使基板1700暴露于退火处理。在一实施例中,基板1700可传送到退火室,例如 和 RTP室或RTA室,
二者皆可从美国加州圣克拉拉市的应用材料公司购得,并暴露于热退火处理。退火室可置于与沉积室及/或氮化室相同的群集式工具上,使基板1700在不暴露于周围环境下进行退火处理。在一实施例中,退火处理期间可使用除气室1844。在另一实施例中,退火处理期间可使用处理室1836、1842。
[0320] 基板1700可加热至约600℃至约1200℃,较佳为约700℃至约1150℃,更佳为约800℃至约1000℃。热退火处理可持续进行约1秒至约120秒,较佳为约2秒至约60秒,更佳为约5秒至约30秒。处理室氛围一般含有至少一退火气体,例如氮气、氢气、氩气、氦气、氮氢混合气体、其衍生物、或其组合。处理室的压力可为约5托至约100托,例如约10托。
在热退火处理之一实施例中,基板1700可在惰性环境下加热至约1050℃、达15秒。在另一实施例中,基板1700可在惰性环境下加热至约1100℃、达25秒。
[0321] 在一实施例中,如图17C-17D所示,热退火处理将金属钴材料1715转变成硅化钴材料1720。在一实施例中,硅化钴材料的厚度为约1埃至约200埃,较佳为约3埃至约80埃,更佳为约5埃至约30埃。在另一实施例中,金属钴材料的厚度为约1埃至约300埃,较佳为约5埃至约100埃,更佳为约10埃至约50埃。
[0322] 在另一实施例中,可在步骤1140、1230、1360、1450、1530、或1630期间使基板1700暴露于至少一等离子体退火处理。在其它实施例中,可在沉积硅化钴材料、金属钴材料、其它含钴材料、或金属接触材料之前、期间、或之后,使基板1700暴露于等离子体退火处理。等离子体可在处理室内原位产生,或可利用如RPS在远程产生、再传递到处理室。等离子体室可位在与沉积室及/或氮化室相同的群集式工具上,使基板1700在不暴露于周围环境下进行退火处理。在一实施例中,等离子体退火处理期间可使用处理室1836、1842。
[0323] 蚀刻处理或平坦化处理
[0324] 在一实施例中,如图17G所示,可在步骤1050、1160、1260、1350、1460、1560、1650、1940、或2660期间将基板1700暴露于至少一蚀刻处理或平坦化处理,以移除基板1700的基板场区1945的材料,例如部分沉积的硅化钴材料1720、金属钴材料1730、金属接触材料
1740、其它含钴材料、或金属接触材料。蚀刻处理包括湿法蚀刻处理或干法蚀刻处理,例如从美国加州圣克拉拉市的应用材料公司购得的回蚀处理(etch-back process)。平坦化处理可包括机械研磨、化学机械研磨(CMP)、电化学机械研磨(ECMP)、反应性离子蚀刻(RIE)、或其它已知用来平坦化基板的技术。特定处理和组成可基于金属接触材料1740的组成(如铜、钨、铝、或其合金)而定及改变。可用于所述实施例的平坦化处理将在共同受让的美国专利申请No.10/948,958(APPM/9038)、2004年9月24日提交、公开号为US-2006-0021974的申请案、和共同受让的美国专利申请No.11/130,032(APPM/9038.P1)、2005年5月16日提交、公开号为US-2005-0233578的申请案中进一步描述,其均结合于此以供参考。
[0325] 阻挡层沉积
[0326] 在另一实施例中,阻挡层可在沉积金属接触材料1740前,形成在金属钴材料1730上。阻挡层的沉积可在处理1000的步骤1030之后且在步骤1040之前、处理1100的步骤1130之后且在步骤1150之前、处理1200的步骤1240之后且在步骤1250之前、处理1300的步骤1330之后且在步骤1340之前、处理1400的步骤1430之后且在步骤1440之前、处理1500的步骤1540之后且在步骤1550之前、处理1600的步骤1620之后且在步骤1640之前进行。在又一实施例中,阻挡层可在沉积金属接触材料1740前,沉积在硅化钴材料1720上。在再一实施例中,阻挡层的沉积可在处理1900的步骤1920之后且在步骤1930之前进行。在另一实施例中,阻挡层的沉积可在处理2600的步骤2640中进行。
[0327] 阻挡层可包括一种或多种阻挡材料,例如钽、氮化钽、氮化硅钽、钛、氮化钛、氮化硅钛、钨、氮化钨、氮化硅、钌、其衍生物、其合金、或其组合。在一些实施例中,阻挡材料可包含钴或硅化钴。阻挡层可利用适当的沉积处理形成/沉积,例如ALD、CVD、PVD、或无电电镀法。例如,氮化钽可利用CVD处理或ALD处理沉积,其中含钽化合物或钽前体(如PDMAT)、和含氮化合物或氮前体(如氨)参与反应。在一实施例中,钽及/或氮化钽利用ALD处理沉积作为阻挡层,其可参见美国专利申请案No.10/281,079、题为“用于原子层沉积的气体输送设备(Gas Delivery Apparatus for Atomic Layer Deposition)”、2002年10月25日提交且公开号为US 2003-0121608的申请案,其结合于此以供参考。在一实施例中,Ta/TaN双层可沉积为阻挡层材料,例如金属钽层与氮化钽层,其各自藉由ALD、CVD、及/或PVD处理而以任何顺序使一层沉积在另一层上方。在另一示例中,Ti/TiN双层可以沉积为阻挡层材料,例如金属钛层与氮化钛层,其各自藉由ALD、CVD、及/或PVD处理而以任何顺序使一层沉积在另一层上方。在另一示例中,W/WN双层可以沉积为阻挡层材料,例如金属钨层与氮化钨层,其各自藉由ALD、CVD、及/或PVD处理而以任何顺序使一层沉积在另一层上方。。
[0328] 在此所述的“基板表面”或“基板”是指制造过程中在基板上的任一基板表面或材料表面进行成膜处理。例如,可进行处理的基板表面包括如单晶硅、多晶硅或非晶硅、应变(strained)硅、绝缘层上覆硅(SOI)、掺杂硅、硅锗、锗、砷化镓、玻璃、蓝宝石、氧化硅、氮化硅、氮氧化硅、及/或掺杂碳的氧化硅(例如SiOxCy,如可从美国加州圣克拉拉市的应用材料公司购得的BLACK 低k介电材料)的材料。基板可为各种尺寸,例如200毫米或300毫米的晶片、且具有矩形或方形窗格。除非特别注记,否则本文的实施例较佳是进行在直径为200毫米或300毫米的基板,更佳为300毫米。所述处理实施例将硅化钴材料、金属钴材料、和其它含钴材料沉积至许多基板与表面上,特别是含硅介电材料上。可用于本发明实施例的基板包括但不限于半导体晶片,例如结晶硅(如Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片、和图案化或未图案化的晶片。基板可以预处理处理进行处理,藉以研磨、蚀刻、还原、氧化、氢氧化、退火、及/或烘烤基板表面。
[0329] 在此所述的“原子层沉积”或“循环沉积”是指相继引用二种以上的反应性化合物来将材料层沉积至基板表面。两种、三种、或更多种反应性化合物可交替引入处理室的反应区。各反应性化合物通常个别延迟输送,使各化合物粘附在基板表面及/或与基板表面反应。在一方面中,第一前体或化合物A脉冲输送到反应区,然后延迟第一时间。接着,第二前体或化合物B脉冲输送到反应区,然后延迟第二时间。在各时间延迟期间,将净化气体(如氮气)引入处理室,以净化反应区、或移除反应区中残留的反应性化合物或副产物。或者,可在整个沉积过程持续流入净化气体,由此只在脉冲输送反应性化合物间的时间延迟期间流入净化气体。在另一实施例中,净化气体亦可为还原剂,例如氢气或硅烷。交替脉冲输送反应性化合物,直到在基板表面形成的膜层达到预期厚度。在任一状况下,脉冲输送化合物A、输送净化气体、脉冲输送化合物B、和输送净化气体的ALD处理为一循环。循环可从化合物A或化合物B开始,且依序持续进行,直到膜层达预期厚度。在又一实施例中,含化合物A的第一前体、含化合物B的第二前体、和含化合物C的第三前体分别交替脉冲输送到处理室。或者,含化合物A的第一前体、和含化合物B的第二前体分别交替脉冲输送到处理室,含化合物C的第三前体则持续流入处理室。或者,第一前体和第二前体的脉冲输送时间可重迭,而第三前体的脉冲输送时间不与第一前体或第二前体的脉冲输送时间重迭。
[0330] 在此所述的“脉冲”意指间歇或非连续引进特定化合物至处理室的反应区。视脉冲时间而定,每次脉冲输送的特定化合物量可随时间改变。各脉冲的持续时间取决于许多因素而定,例如选用的处理室体积容量、连接的真空系统、和特定化合物本身的挥发性/反应性。在此所述的“半反应”是指脉冲输送前体、接着进行净化步骤。
[0331] 虽然本发明已以较佳实施例公开如上,然其并非用以限定本发明,任何本领域技术人员在不背离本发明的精神和范围内,当可作各种设计,因此本发明的保护范围当以后附的权利要求所界定者为准。