数模混合调光恒流控制器集成电路转让专利

申请号 : CN201710609583.1

文献号 : CN107222953B

文献日 :

基本信息:

PDF:

法律信息:

相似专利:

发明人 : 许瑞清刘立国

申请人 : 许瑞清

摘要 :

本发明公开了一种数模混合调光恒流控制器集成电路,其内部设有调光数模转换电路、误差放大电路以及逻辑控制电路,其中调光数模转换电路将一PWM调光信号转换为稳定的直流电压。本发明使得智能照明既满足世界上最苛刻的频闪要求,又不产生任何人耳能听到的机械振动声音,同时还做到几乎没有最小亮度限制,用户体验可得到极大提升。

权利要求 :

1.一种数模混合调光恒流控制器集成电路,其内部设有调光数模转换电路、误差放大电路以及逻辑控制电路,其中,调光数模转换电路,将第一PWM调光信号转换为稳定的直流电压(EAREF),所述直流电压(EAREF)等于第一PWM调光信号的占空比与第一参考电压的乘积;

误差放大电路,将所述直流电压(EAREF)与来自外部功率转换级的电感电流检测信号的平均值之间的差进行放大,产生一误差信号;

逻辑控制电路,包括:

峰值比较器,将所述电感电流检测信号与所述误差信号进行比较,产生第一输出信号;

谷值比较器,将所述电感电流检测信号与第二参考电压进行比较,产生第二输出信号;

以及

触发器,基于所述第一输出信号控制所述功率转换级中功率开关的断开,基于所述第二输出信号控制所述功率开关的闭合。

2.如权利要求1所述的集成电路,其特征在于,所述调光数模转换电路包括第一、二NMOS管、反相器以及滤波电路,其中,第一NMOS管,其栅极接收所述第一PWM调光信号,漏极连接所述第一参考电压;

第二NMOS管,其栅极经所述反相器接收第一PWM调光信号,源极连接所述集成电路的参考地(GNDIC),漏极与所述第一NMOS管的源极共同连接到节点(SD);

滤波电路,由电阻和电容构成,对所述节点(SD)的信号滤波,输出所述直流电压(EAREF)。

3.如权利要求2所述的集成电路,其特征在于,所述滤波电路的RC时间常数,比第一PWM调光信号的周期大10倍以上。

4.一种驱动恒流源负载的装置,包括权利要求1至3中任一项所述的集成电路以及功率转换级,所述功率转换级包括功率开关、检测电阻、续流二极管以及由电感元件与电容元件构成的滤波器,以将高输入直流电压转换成低输出直流电压,提供给所述恒流源负载,其中,功率开关,其漏极与输入电压源连接,源极经所述检测电阻与所述电感元件连接;

续流二极管,其负极连接在所述功率开关的源极与所述检测电阻之间。

5.如权利要求4所述的装置,其特征在于,所述装置还包括一光耦,来自无线信号接收与处理电路的第一PWM调光信号通过所述光耦传输到调光数模转换电路。

6.如权利要求5所述的装置,其特征在于,所述装置还包括两个限流电阻,分别串接在所述光耦的输入端和输出端。

7.如权利要求6所述的装置,其特征在于,所述恒流源负载由并联的不同颜色或者色温的第一光源、第二光源组成,所述装置还包括:第三NMOS管,其栅极接收来自无线信号接收与处理电路的第二PWM调光信号,漏极连接所述第一光源,源极与所述无线信号接收与处理电路共地连接;

第四NMOS管,其栅极接收来自无线信号接收与处理电路的第三PWM调光信号,漏极连接所述第二光源,源极与所述无线信号接收与处理电路共地连接,其中,所述第一PWM调光信号的占空比,等于所述第二、三PWM调光信号占空比之和的二分之一。

8.如权利要求7所述的装置,其特征在于,所述第一光源为冷光源,所述第二光源为暖光源。

9.一种智能照明灯具,其特征在于,包括无线信号接收与处理电路、权利要求4至8中任一项所述的装置以及恒流源负载,其中,所述无线信号接收与处理电路接收无线传输的照明指令,并将所述照明指令转换为PWM调光信号。

10.如权利要求9所述的智能照明灯具,其特征在于,所述恒流源负载为LED负载。

说明书 :

数模混合调光恒流控制器集成电路

技术领域

[0001] 本发明涉及恒流控制器,具体而言,涉及一种数模混合调光恒流控制器集成电路,包含所述集成电路的恒流源负载驱动装置,以及包含所述驱动装置的智能照明灯具。

背景技术

[0002] 作为新一代的照明光源,发光二极管(LED)已经得到广泛应用。在全球照明市场,LED照明预计占了七成以上。从1%到70%的市场占有率,LED照明只用了不到十年的时间。这一产业已开始寻求转型升级。与传统照明相比,LED照明具有一个较大的优势,即,实现灯具的调光(调节亮度)和调色(改变光的颜色)相对容易。这一优势正好是智能照明所需要的。目前,智能化逐渐成为创新热点,比如人工智能AI、物联网、智能家居等等。在智能家居中,智能照明可能会最先实现商业化,这基本是业界共识。未来十年,将是LED智能照明大发展的十年。
[0003] LED智能照明的发展可分为初级、中级、高级智能阶段。在初级智能阶段,典型的应用是,使用遥控器(类似电视遥控器)实现对灯的亮度和颜色的控制。现在LED智能照明基本处于这一阶段。中级阶段为互联网化阶段,例如,手机上安装有专用APP,可通过手机对灯具进行控制,由此实现一定程度的远程智能控制,如场景设置(例子:海滩黄昏落日模式)。目前已有多家公司在探索。高级阶段是互联网智能化阶段,将照明灯具连接上互联网,应用人工智能和云计算技术,实现照明高级智能化。在这个阶段,智能照明可以实现与主人的语音互动。它就像照明管家一样,根据主人的命令,对房间照明场景、亮度和颜色做精细控制。通过语音互动,这个照明管家可慢慢对家里每个主人的照明习惯和喜好了如指掌。再加上人工智能深度学习,它就能够预测主人的照明需求,并提前做好安排。基本上,这就是未来智能照明的终极目标。
[0004] 图1为现有技术智能照明系统的功能框图。如图1所示,该系统分两大部分。部分001是整个系统的智能中枢,根据人类意志发出照明指令。部分100是执行部分,执行来自部分001的指令。这两部分之间一般没有任何物理硬连接,而是通过无线手段连接,比如红外线、433MHz射频、2.4G射频、WIFI、蓝牙、Zigbee等。
[0005] 本发明主要涉及上述执行部分100。部分100基本就是一个传统意义上的灯具,它具有LED光源120、给光源120提供稳定电流的恒流驱动电路101,后者通常叫做电源。灯具一般由三部分组成,即,光源、电源和物理外壳。部分100有别于传统灯具之处是,它还具有无线信号接收与处理电路102。该电路专门接收来自部分001的指令,并将指令转换成PWM调光信号,该信号提供的占空比信息可直接控制灯具的亮度。PWM是英文pulse width modulation(脉冲宽度调制)首字母缩写。参照图2,图2示出了PWM脉冲信号。一般来讲,该信号是周期固定、而维持高电平的脉冲时间(也就是脉冲宽度)可以改变的信号。调制是指对脉冲宽度的调整改变。脉冲宽度与脉冲周期之比为占空比(Duty Cycle),一般以字母D表示,改变脉冲宽度就是改变占空比D。
[0006] 图3示出了现有的LED恒流驱动电路。参照图3,整流桥201、电容202用于对50/60Hz的110/220V交流电整流滤波。电阻203、电容204和稳压二极管215为调光恒流控制电路200提供稳定的工作电压。功率NMOS开关205、电感208、续流二极管207是开关电源的功率器件。滤波电容209为LED负载120提供低纹波的工作电流。电阻206检测流过功率NMOS开关205的电流。当PWM信号持续为高时,驱动电路就进入振荡模式,其工作波形如图4所示。当DRV信号从低变高后,功率NMOS开关205随之开启,电感208电流以(VIN-VOUT)/L208的斜率上升,在检测电阻206上的压降IS也会随之上升。当压降IS大于参考电压VREF1(比如400mV)时,比较器
213的输出RST就从低翻转为高,该信号再送入RS触发器211的第一复位端R1,复位端为高将强制复位RS触发器211,使得其输出端从高变成低。驱动器212的输出DRV也随之从高变成低,功率NMOS开关205随之关闭。电感208随之进入放电阶段,电流以VOUT/L208的斜率下降。
当谷值电流检测电路214检测出电感电流下降到某个值(最常见为0)时,会输出一个高电平信号到RS触发器211的置位S端,使得其输出从低变成高。驱动器212的输出DRV也随之从高变成低,功率NMOS开关205随之开启。驱动电路就这样周而复始地工作。从图4可以看出,电感208的工作电流是三角波,其峰值等于VREF1/R206,其谷值为零,所以电感平均电流为峰值电流的一半,也就是0.5*VREF1/R206。电感电流的平均值也就是负载120的电流平均值,即公式1:
[0007] I120=0.5*VREF1/R206    1
[0008] 理论上讲,改变R206的阻值,就可以改变负载120的工作电流。
[0009] 如果PWM信号不是高,而是低电平,情况将完全不同。PWM低电平经过反相器217变成高电平,再送入RS触发器的第二个复位端R2,立即强制复位RS触发器211,并且只要PWM为低电平,RS触发器211就处于复位状态,输出端Q保持为低,DRV信号也一直为低,功率开关205一直处于断开OFF状态,也就是驱动电路完全停止振荡工作,电感208工作电流为零,负载120也没有电流流过。若PWM信号是周期性的脉冲信号,图3中的驱动电路将在PWM信号为高电平的阶段处于正常的工作状态,而在该信号为低电平的阶段处于停止状态。很容易理解,如果PWM信号的占空比D为0.5,也就是PWM一个周期里,一半时间高电平,系统工作;一半时间低电平,系统停机,这样负载120的亮度就只有最亮时候的一半(最亮时候对应PWM一直为高电平,驱动电路始终在工作)。不难证明,负载120的平均工作电流(平均工作电流与其亮度基本成正比)与PWM脉冲信号的占空比D之间,存在以下线性数学关系:
[0010] I120=0.5*D*VREF1/R206    2
[0011] 因此,理论上讲,只要精细地控制PWM脉冲信号的占空比D,就可以对负载120的亮度进行精确控制。
[0012] 现有技术中,调节LED灯颜色的解决方案如图5、6所示。图5示出了现有的冷暖光智能照明系统的结构框图。该系统包含相互独立的暖光光源121和冷光光源122,以及分别与光源121、122对应的两套恒流驱动电路101A和101B。无线信号接收与处理电路102送出两路独立的调光脉冲信号PWM1、PMW2,就能对灯具的色温和亮度进行控制。这里,需要说明,LED光源色温以绝对温度K表示,在3300K以下,光色偏红给人以温暖的感觉,这种光一般叫做“暖色光”或“暖光”;色温在3000K--6000K为中间,人在此色调下无特别明显的视觉心理效果,故称为“中性”色温,这种光叫做“中性光”;色温超过6000K,光色偏蓝,给人以清冷的感觉,这种光叫做“冷色光”或“冷光”。
[0013] 图6示出了现有的全彩色智能照明系统的结构框图。该系统包含相互独立的红绿蓝(RGB)三组光源,以及与三组光源分别对应的三套恒流驱动电路101C、101D和101E。无线信号接收与处理电路102送出三路独立的调光脉冲信号PWM1、PMW2和PWM3,就能对灯具进行全彩色和亮度控制。图5、6中,各恒流驱动电路与图1中恒流驱动电路101的工作原理完全相同。
[0014] 图3所示的LED恒流驱动电路,目前是市场上恒流驱动电路的主流结构。该驱动电路采用开环控制,结构简单,但存在以下缺陷:
[0015] 一是PWM调光频率较低,一般在200Hz左右,会造成频闪现象。频闪是指LED光源光通量(俗称亮度)以一定频率的波动。有大量的研究发现,光源频闪与偏头痛、头痛、自闭症、视觉疲劳与不适等神经学疾病有密切关系,严重的会使人眼造成错觉,进而引发事故。2017年央视315晚会就曝光了LED灯具频闪的问题,引起了全社会对这一问题的关注。2015年发布的IEEE文件中,将频闪风险分为几个等级,如图7所示,粗实线右下方区域为无风险的等级,粗、细实线之间区域则是低风险类,而细实线左上方区域是不能被接受的。依照这一标准,3KHz调光频率以上才安全,而200Hz的调光频率显然属于不能接受的范畴。
[0016] 二是调光有最低亮度限制。现有调光机制能做到的最小亮度是7%左右,再往下调的话,亮度就不稳定了。例如,对于100W的智能LED灯具,最低亮度可以调节到7W,图3的电路架构无法满足更低的亮度需求。
[0017] 三是存在电感的机械振动音频声音。图3的驱动电路中,由于电感208工作在间歇模式,频率与PWM脉冲信号的频率(200Hz左右)一致,这就导致电感产生人耳能听到的200Hz机械振动音频声音。
[0018] 此外,在冷暖光和全彩色智能照明控制中,由于在一个系统中采用多个互相不同步的开关调光恒流控制电路,导致系统要通过电磁相关的EMC(Electro  Magnetic Compatibility)认证会困难许多。而且,每一光源对应一个独立的恒流驱动电路,这样元器件数量多,PCB面积也很大,再加上EMC相关元器件数量体积都不小,总成本相当不便宜。

发明内容

[0019] 本发明的目的在于,提出一个AC/DC调光恒流控制器的全新电路架构,克服现有LED恒流驱动电路的上述缺陷,全面改善用户体验。
[0020] 根据本发明的第一方面,提供一种数模混合调光恒流控制器集成电路,其内部设有调光数模转换电路、误差放大电路以及逻辑控制电路,其中,调光数模转换电路,将第一PWM调光信号转换为稳定的直流电压(EAREF),所述直流电压(EAREF)等于第一PWM调光信号的占空比与第一参考电压的乘积;误差放大电路,将所述直流电压(EAREF)与来自外部功率转换级的电感电流检测信号的平均值之间的差进行放大,产生一误差信号;逻辑控制电路包括:峰值比较器,将所述电感电流检测信号与所述误差信号进行比较,产生第一输出信号;谷值比较器,将所述电感电流检测信号与第二参考电压进行比较,产生第二输出信号;以及触发器,基于所述第一输出信号控制所述功率转换级中功率开关的断开,基于所述第二输出信号控制所述功率开关的闭合。
[0021] 在第一方面中,优选的是,所述调光数模转换电路包括第一、二NMOS管、反相器以及滤波电路,其中,第一NMOS管,其栅极接收所述第一PWM调光信号,漏极连接所述第一参考电压;第二NMOS管,其栅极经所述反相器接收第一PWM调光信号,源极连接所述集成电路的参考地(GNDIC),漏极与所述第一NMOS管的源极共同连接到节点(SD);滤波电路,由电阻和电容构成,对所述节点(SD)的信号滤波,输出所述直流电压(EAREF)。
[0022] 优选的是,所述滤波电路的RC时间常数,比第一PWM调光信号的周期大10倍以上。
[0023] 根据第二方面,提供一种驱动恒流源负载的装置,包括上述第一方面中所述的集成电路以及功率转换级,所述功率转换级包括功率开关、检测电阻、续流二极管以及由电感元件与电容元件构成的滤波器,以将高输入直流电压转换成低输出直流电压,提供给所述恒流源负载,其中,功率开关,其漏极与输入电压源连接,源极经所述检测电阻与所述电感元件连接;续流二极管,其负极连接在所述功率开关的源极与所述检测电阻之间。
[0024] 在第二方面中,优选的是,所述装置还包括一光耦,来自无线信号接收与处理电路的第一PW调光信号通过所述光耦传输到调光数模转换电路。
[0025] 优选的是,所述装置还包括两个限流电阻,分别串接在所述光耦的输入端和输出端。
[0026] 优选的是,所述恒流源负载由并联的不同颜色或者色温的第一光源、第二光源组成,所述装置还包括:第三NMOS管,其栅极接收来自无线信号接收与处理电路的第二PWM调光信号,漏极连接所述第一光源,源极与所述无线信号接收与处理电路共地连接;第四NMOS管,其栅极接收来自无线信号接收与处理电路的第三PWM调光信号,漏极连接所述第二光源,源极与所述无线信号接收与处理电路共地连接,其中,所述第一PWM调光信号的占空比,等于所述第二、三PWM调光信号占空比之和的二分之一。
[0027] 优选的是,所述第一光源为冷光源,所述第二光源为暖光源。
[0028] 根据第三方面,提供一种智能照明灯具,包括无线信号接收与处理电路、上述第二方面中所述的装置以及恒流源负载,其中,所述无线信号接收与处理电路接收无线传输的照明指令,并将所述照明指令转换为PWM调光信号。
[0029] 在第三方面中,优选的是,所述恒流源负载为LED负载。
[0030] 采用本发明的数模混合调光恒流控制器集成电路,使得智能照明既满足世界上最苛刻的频闪要求,又不产生任何人耳能听到的机械振动声音,同时还做到几乎没有最小亮度限制,用户体验可得到极大提升。而且,由于智能照明系统只包含一个恒流驱动电路,容易通过EMC电磁兼容性认证,同时系统成本也得到降低。对于LED照明工业升级到智能照明时代,本发明提供了坚实的技术保证。

附图说明

[0031] 为更好地理解本发明,下文以实施例结合附图对本发明作进一步说明。附图中:
[0032] 图1为现有技术智能照明系统的功能框图;
[0033] 图2示出了PWM脉冲信号;
[0034] 图3示出了现有的LED恒流驱动电路;
[0035] 图4为图3所示驱动电路的工作波形图;
[0036] 图5示出了现有的冷暖光智能照明系统的结构框图;
[0037] 图6示出了现有的全彩色智能照明系统的结构框图;
[0038] 图7为IEEE频闪风险分级示意图;
[0039] 图8示出数字、模拟两种调光的LED工作电流波形;
[0040] 图9为本发明智能照明系统的功能框图;
[0041] 图10示出了图9所示系统主要的工作信号波形;
[0042] 图11示出了本发明一实施例的LED恒流驱动电路;
[0043] 图12示出了本发明一实施例的调光数模转换电路;
[0044] 图13示出了图12所示电路的工作电压波形;
[0045] 图14示出了本发明另一实施例的LED恒流驱动电路。

具体实施方式

[0046] 针对上述频闪问题,按照图7所示IEEE的要求,可以通过大幅提高PWM调光脉冲频率来解决,比如频率提高到3KHz以上。
[0047] 针对最低亮度问题,理论上可通过大幅降低PWM调光脉冲频率来解决,比如频率降低到20Hz以下,这样,最低亮度就能够降到1%左右。
[0048] 针对电感的机械振动音频声音问题,理论上可通过大幅提高PWM调光脉冲频率来解决,比如频率提高到20KHz以上。因为20KHz是普通人耳频率响应的极限,频率再往上叫超声波,人耳是听不到的。
[0049] 可以看到,以上三种解决措施都与PWM脉冲频率有关。频闪、机械振动声音问题都可以通过提高PWM频率来解决,但是最低亮度问题却需要降低PWM频率,两者背道而驰。这样看来,PWM频率升也不是,降也不是,没办法动了。申请人经研究发现,其实,这背后有一个总的根源,那就是普通照明AC/DC调光恒流控制器的正常工作频率FSW不够高,只有50KHz左右,一般在30KHz到100KHz之间。低于30KHz,人耳可能听到机械振动声音;高于100KHz,功率开关的开关效率会明显下降。这一点适用所有的市电离线AC/DC开关电源。最大PWM调光频率与系统开关频率有关,由以下经验公式限制:
[0050] FPWM<FSW/200    3
[0051] 当AC/DC调光恒流控制器的工作频率FSW只有50KHz时,最大PWM调光频率就只有250Hz;最小PWM调光频率不能低于100Hz,否则人眼就会感受到100Hz的灯光闪烁。所以,智能照明PWM调光频率一般取在100Hz到300Hz之间。而最小亮度(对应PWM调光脉冲最小占空比)由以下经验公式限制:
[0052] DMIN(PWM)>20*FPWM/FSW    4
[0053] 这说明,PWM脉冲的最小脉冲宽度不得小于20个AC/DC调光恒流控制器的开关周期(1/FSW)。如果FSW为50KHz,最小PWM脉冲宽度就是400uS。如果FPWM为200Hz,最小占空比DMIN就是8%。这就解释了最低亮度限制的原因。
[0054] 如前文所述,现有技术存在的以上三个问题有一共同点,就是都与PWM脉冲调光的频率有关。在此,为了研究出解决方案,申请人考虑换一种新的思路。其实,调光方式除了PWM脉冲数字调光之外,还有模拟调光。图8示出数字、模拟两种调光(以50%调光为例)的LED工作电流波形。可以看出,数字调光是间歇性的发光方式;而模拟调光是连续稳定的发光方式。若采用模拟调光,理论上讲,完全可以解决以上三个问题。首先,LED连续平稳发光,所以根本不存在频闪现象。同样地,电感也是连续不间断工作,所以也不会产生人耳能听到的机械振动声音。较困难的是最小亮度限制问题,但并非没有办法解决。
[0055] 在历史上,最先得到应用的是模拟调光技术。但随着数字技术的兴起,数字调光迅速取得了压倒性的优势。相比模拟调光技术,数字调光电路结构简单、可靠,设计调试生产都比较容易,同时成本也低廉。在智能照明中,如果全面放弃数字调光技术,也意味着放弃了该技术的所有优点。明智的做法是,创造出一种数字模拟混合调光技术,既能同时保留数字调光技术和模拟调光技术的优点,又能回避两者的缺点。参照图9、10,图9为本发明智能照明系统的功能框图,图10示出了其主要的工作信号波形。由于数字信号容易产生,传输抗干扰强,所以无线信号接收与处理电路102完全保留。在无线信号接收与处理电路102与LED负载120之间,采用本发明独创的包含数模混合调光恒流控制器的驱动电路111,它接收数字PWM调光信号,却输出连续稳定的工作电流。
[0056] 参照图11,图11示出了本发明一实施例的LED恒流驱动电路。该驱动电路中,设有数模混合调光恒流控制器集成电路300以及功率转换级。其中,功率转换级包括功率开关205、检测电阻206、续流二极管207、由电感208与电容209构成的滤波器,用以将高输入直流电压VIN转换成低输出直流电压VOUT,提供给LED负载120。功率开关205的漏极与输入电压VIN连接,其源极经检测电阻206与电感208连接。续流二极管207的负极连接在功率开关205的源极与检测电阻206之间。
[0057] 在数模混合调光恒流控制器集成电路300的内部,设有调光数模转换电路330、误差放大电路320以及逻辑控制电路310。其中,调光数模转换电路330将外部的PWM调光信号转换为稳定的直流电压EAREF,该直流电压EAREF等于上述PWM调光信号的占空比与参考电压VREF3(例如200mV)的乘积。误差放大电路320是积分误差放大电路,误差放大器321将直流电压EAREF与电感电流检测信号IS的平均值的差放大,产生误差信号;电容322和电阻323是频率补偿元件。逻辑控制电路310中,峰值比较器213将电感电流检测信号IS与上述误差信号进行比较,产生输出信号RST;谷值比较器314将电感电流检测信号IS与参考电压VREF2进行比较,产生输出信号SET。这里,参考电压VREF2例如为10mV,这意味着,电感电流谷值下降到零附近时,功率开关205才会闭合给电感208充电,此时电路工作在临界模式;触发器211基于输出信号RST控制功率开关205的断开,基于输出信号SET控制功率开关205的闭合。
[0058] 如前文所述,图3的电路采用了开环恒流控制结构,其LED负载工作电流由公式1决定。虽然从公式1看起来,负载电流只与控制器200内部参考电压VREF1的大小有关,但实际上由于不是闭环结构,公式1只是一个近似,真正的负载电流大小还与很多其他因素有关,比如工作频率的高低、电感208磁芯的质量好坏、环境温度的高低、电网电压的高低、输出负载电压的高低等。这些因素导致的结果就是,随着参考电压VREF1的减小(比如从400mV减到40mV,对应10%的模拟调光),公式1的误差将会非常大,根本无法接受。要想达到高精度的要求,必须采用全闭环的恒流控制结构。闭环系统的优势在于自动修正误差,上述因素均不会影响闭环恒流系统的输出精度。
[0059] 按照本发明,图11的电路采用的正是全闭环恒流控制架构。与图3相比,图11中三个功率元件的相对位置上下颠倒了,这就使得电感208的电流无论在充电阶段(功率开关205闭合阶段)、还是放电阶段(功率开关205断开阶段)都流经检测电阻206,由此实现对输出电流的实时不间断监测,这是全闭环控制的前提条件。
[0060] 由于采用开环技术,图3中控制电路200的内部设有误差补偿电路216,来对输出电流的精度进行必要的修正。图11电路采用闭环技术,所以不需要设置上述补偿电路,但是其控制电路内部设有误差放大电路320。
[0061] 图11所示驱动电路中,输出电流由以下关系式严格决定:
[0062] I120=EAREF/R206    5
[0063] 所谓“严格”,是指输出电流只与调光数模转换电路330的输出电压EAREF、检测电阻206的阻值有关,与其他参数无关,不需要任何补偿电路。这就是全闭环控制的特点,也是本发明能够大幅降低灯具最低亮度的原因所在。
[0064] 参照图12,图12示出了本发明一实施例的调光数模转换电路。如前文所述,该转换电路所输出直流电压EAREF与PWM调光信号占空比D之间的数学关系如下:
[0065] EAREF=DPWM*VREF3    6
[0066] 这是一个简单的线性关系,图12所示电路是其实现方式之一。调光数模转换电路包括NMOS管333、334,反相器332以及滤波电路。其中,NMOS管333的栅极接收PWM调光信号,其漏极连接参考电压VREF3;NMOS管334的栅极经反相器332接收该PWM调光信号,其源极连接集成电路300的参考地GNDIC,其漏极与NMOS管333的源极共同连接到节点SD;滤波电路由电阻335和电容336构成,对节点SD的信号滤波,输出直流电压EAREF。
[0067] 当PWM调光信号为高电平时,使得NMOS管334关闭,而开启NMOS管333,节点SD电压等于参考电压VREF3。当PWM调光信号为低电平时,相应使得NMOS管333关闭,而开启NMOS管334,节点SD电压等于零。图13示出了该转换电路的工作电压波形。可以看出,节点SD波形频率和占空比信息均与PWM一样,只是节点SD的高电平不再是粗糙的数字电压,而变成稳定精确干净的模拟参考电压VREF3(例如200mV)。再经过由电阻335、电容336组成的滤波电路,就可以得到稳定平滑的模拟电压EAREF,该电压在数学上严格等于节点SD电压的平均值,也就是节点SD波形的占空比与参考电压VREF3的乘积,数学表达即为上述公式6。一般而言,上述滤波电路的RC时间常数,比PWM调光信号的周期大10倍以上,即
[0068] R335*C336>10/FPWM    7
[0069] 由于PWM调光信号的频率通常只有200Hz左右,周期为5毫秒左右,上述滤波电路的RC时间常数需要大于50毫秒。若电阻R335取值100兆欧姆,电容C336的容值则不能低于500pF。
[0070] 参照图14,图14示出了本发明另一实施例的LED恒流驱动电路。该实施例中,LED负载由并联的光源121、光源122组成;光源121、122可具有不同的颜色或者色温,例如,光源121为暖光源,光源122为冷光源。这里,采用本发明的数模混合调光恒流控制器集成电路
300,冷光源和暖光源共享一套驱动电路,就可实现冷暖光调节。需要指出,集成电路300中,参考地是GNDIC,没有与整流桥201和滤波电容202共地连接。通俗地讲,本发明的集成电路
300采用浮地连接(图3中控制电路200则是实地连接)。这会对PWM调光信号的接收造成影响,因为一般来讲,无线信号接收与处理电路102都会选择与整流桥201和滤波电容202共地连接,这样,PWM调光信号的发送端102与接收端300的参考地不同,它们之间需要通过光电隔离来传递信号。为此,该驱动电路中设有一光耦303,通过该光耦,来自无线信号接收与处理电路102的PWM1调光信号传输到集成电路300。在光耦303的输入端和输出端,可分别串接限流电阻301、302,用以限制光耦的输入输出电流。
[0071] 如图14所示,该驱动电路中,还设有NMOS管304、305。其中,NMOS管305的栅极接收来自无线信号接收与处理电路102的PWM2调光信号,漏极连接冷光源122,源极与无线信号接收与处理电路102共地连接。NMOS管304的栅极接收来自无线信号接收与处理电路102的PWM3调光信号,漏极连接暖光源121,源极与无线信号接收与处理电路102共地连接。NMOS管304、305的作用在于,直接对暖光源121、冷光源122进行PWM调光,调光频率可以很容易达到
3KHz以上,足以避免灯光低频频闪对人的伤害。
[0072] 在该驱动电路中,共有三路调光脉冲信号:PWM1、PWM2和PWM3。其中PWM2和PWM3直接驱动NMOS管305、304,因为它们共地连接;而集成电路300可通过光耦303接收信号PWM1,因为发送端和接收端不共地。
[0073] 信号PWM2和PWM3相互完全独立,而信号PWM1却不独立,受PWM2和PWM3约束。下面将举例说明,假定冷光源和暖光源的最大电流是300mA,且两个光源的工作电压也一样。在PWM2占空比和PWM3占空比都为“1”的情况下,冷光源和暖光源的工作电流之和为600mA,这就是要求的最大输出电流。这时候就要求驱动电路所提供的最大输出电流恰好也是600mA。所谓最大,就是对应PWM1占空比为“1”的时候。
[0074] 在信号PWM2占空比为“1”、PWM3占空比只有“1/2”的情况下,冷光源平均电流还是300mA,而暖光源的平均工作电流只有150mA,两者之和为450mA。这时候要求驱动电路所提供的输出电流恰好也是450mA。对应的PWM1占空比应该为450/600,即0.75。
[0075] 而在PWM2占空比和PWM3占空比都为“1/2”的情况下,冷光源和暖光源的平均工作电流之和只有300mA。此时要求驱动电路所提供的输出电流恰好也是300mA。对应的PWM1占空比应为300/600,即0.5。
[0076] 可见,信号PWM1的占空比完全由PWM2和PWM3的占空比决定,它们之间的数学关系如下:
[0077] DPWM1=(DPWM2+DPMW3)/2    8
[0078] 下面讨论灯具的最小亮度限制问题。根据公式5和公式6,图14中驱动电路提供的总输出电流由下式严格决定:
[0079] I121+I122=DPWM1*VREF3/R206    9
[0080] 这意味着,总输出电流只与PWM1调光信号的占空比、参考电压VREF3以及检测电阻206的阻值有关,与其他参数无关,这是由于本发明采用了全闭环的恒流控制架构。一般地,参考电压VREF3、检测电阻206的阻值均固定,改变信号PWM1的占空比就可改变总输出电流,从而达到调节亮度的目的。最小亮度的大小,完全由信号PWM1的最小占空比决定。而该信号的最小占空比,受到无线信号接收与处理电路102的工作时钟频率的限制。在无线信号接收与处理电路102中,都会内置一个MCU,目前最低端的8位MCU时钟频率在12MHz到50MHz之间。
假定MCU时钟频率是12MHz,一个机器周期大约为12个时钟周期,也就是1uS。再假定信号PWM1的最小脉冲宽度是10个机器周期,也就是10uS;考虑PWM1频率一般为200Hz,周期为
5000u8,这样PWM1的最小占空比DMIN就等于0.2%,理论上最小亮度也是0.2%,非常小了。因此,用户最小亮度的体验得以大幅改善。
[0081] 若采用全彩色智能照明,就需要三个独立的RGB光源,它们共享一个驱动电路。驱动电路中对应存在三个相互独立的PWM调色信号,还存在一个非独立的调节总输出电流大小的PWM脉冲信号。其工作原理、电路结构与图14类似,在此不再赘述。
[0082] 在前文的描述中,虽然本发明是以驱动LED负载为例,但是,本领域技术人员易于理解的是,本发明可用于驱动任何一种恒流源负载。而且,前文虽以LED光源与交流电网之间没有电气隔离的非隔离恒流驱动为阐述基础,但本发明的精神实质同样适用于LED光源与交流电网之间电气隔离的隔离恒流驱动。
[0083] 显而易见,在此描述的本发明可以有许多变化,这种变化不能认为偏离本发明的精神和范围。因此,所有对本领域技术人员显而易见的改变,都包括在所附权利要求书的涵盖范围之内。