评估热敏结构的方法转让专利

申请号 : CN201910773341.5

文献号 : CN110858265A

文献日 :

基本信息:

PDF:

法律信息:

相似专利:

发明人 : 盂曾贤张骏伟刘思麟昆杜·阿密特刘胜峯

申请人 : 台湾积体电路制造股份有限公司

摘要 :

一种评估热敏结构的方法。揭露了一种电迁移(EM:electromigration)验证方法,该电迁移验证方法分析集成电路设计布局以识别热敏结构、自我加热效应、发热结构和散热结构。该EM验证方法包括通过以下方式来对热敏结构的评估温度进行调节:计算温度敏感结构内的自我加热效应,以及作为与位于限定的热耦合范围内的周围发热结构和/或散热元件的热耦合的函数的额外加热和/或冷却。

权利要求 :

1.一种评估一热敏结构的方法,其特征在于,包括:在一集成电路设计布局中识别一热敏结构,该热敏结构具有一标称温度Tnom;

识别该热敏结构的一热耦合范围内的一第一发热结构;

计算该第一发热结构的一操作温度Toph1;

计算由与处于该操作温度Toph1的该第一发热结构热耦合而诱导的该热敏结构的一温度升高ΔTh1;以及在一评估温度TE=Tnom+ΔTh1下对该热敏结构进行评估。

说明书 :

评估热敏结构的方法

技术领域

[0001] 本揭示内容是关于一种评估方法,特别是关于一种热敏结构的评估方法。

背景技术

[0002] 穿过导电线路的电流引起电迁移(EM:electromigration),亦即由穿过导电线路的电子与构成导电线路的金属原子之间的动量转移引起的金属原子的移动。随着时间的推移,EM导致在线中形成凸起(过量金属的积聚)和/或空隙(初始金属的耗尽),此继而趋于导致短路(凸起)或开路(空隙)。
[0003] 通过考虑许多操作因素来估计由EM引起的导电线路的平均故障寿命(MTTF),该等操作因素包括例如导电线路的大小、导电线路的组成、导电线路的微结构、导电线路承载的电流密度、电流施加到导电线路的工作周期,以及导电线路的操作温度。应用于特定集成电路设计的EM评估、分析和验证方法试图考虑该等操作因素中的至少一些操作因素,以便提供关于使用集成电路设计制造的半导体元件的寿命的合理和谨慎的估计,以避免半导体元件过早故障。

发明内容

[0004] 揭示内容的实施方式是关于一种评估热敏结构的方法,其包含下列的操作:在集成电路设计布局中识别热敏结构,热敏结构具有标称温度Tnom;识别热敏结构的热耦合范围内的第一发热结构;计算第一发热结构的操作温度Toph1;计算由与处于操作温度Toph1的第一发热结构热耦合而诱导的热敏结构的温度升高ΔTh1;以及在评估温度TE=Tnom+ΔTh1下对热敏结构进行评估。

附图说明

[0005] 当结合附图阅读时,从以下详细描述可以最好地理解本揭露的各方面。应注意,根据行业中的标准实践,各种特征未按比例绘制。实际上,为了论述的清楚性,可以任意地增大或缩小各种特征的尺寸。
[0006] 图1是金属线内的电迁移(EM:electromigration)过程的示意图;
[0007] 图2是一些实施例中的部件之间的热耦合的示意图;
[0008] 图3是根据一些实施例的可用于EM验证方法的操作的EM工具的示意图;
[0009] 图4是根据一些实施例的主动主动区域(AR:active region)(在一些实施例中,主动主动区是氧化定义(OD:oxide definition)区域,在该氧化定义区域中形成了晶体管和其他功能性半导体元件)的平面图;
[0010] 图5A和图5B是在一些实施例中对部件之间的热耦合的评估的剖视图;
[0011] 图6A是根据一些实施例的用于进行自我加热感知EM评估的方法的流程图;
[0012] 图6B是根据一些实施例的用于进行散热感知EM评估的方法的流程图;
[0013] 图7A是根据一些实施例的对目标与发热部件之间的热耦合的评估的平面图;
[0014] 图7B是根据一些实施例的对目标与散热部件之间的热耦合的评估的平面图;
[0015] 图8是根据一些实施例的对前端(FE:front end)部件与后端(BE:back end)部件之间的热耦合的评估的剖视图;
[0016] 图9A至图9D是根据一些实施例的EM评估结果的透视图;
[0017] 图10是根据一些实施例的包括散热感知EM评估的平面图的表格;
[0018] 图11是根据一些实施例的可用于EM验证方法的操作中的电子过程控制(EPC:electronic process control)系统的示意图。
[0019] 【符号说明】
[0020] 100 导电线路区段
[0021] 102 导电线路
[0022] 104 金属原子
[0023] 106 电子
[0024] 200 半导体结构
[0025] 202 FinFET结构
[0026] 204 Hi-R结构
[0027] 206 导电线路
[0028] 208 ILD结构
[0029] 300 EM工具
[0030] 302 集成电路设计布图数据库
[0031] 304 产生模拟的设计布图
[0032] 306 元件温度方程式
[0033] 308 计算FinFET/AR/OD自我加热ΔT
[0034] 310 伪3D热模型
[0035] 312 计算导电线路自我加热ΔT
[0036] 314 计算Hi-R结构自我加热ΔT
[0037] 316 对经调节的导电线路温度运行IR/EM分析
[0038] 318 生成对应于EM分析的设计定案数据文件
[0039] 400 主动区域结构
[0040] 402 扩散区域
[0041] 404 第一扩散边缘上多晶硅(PODE)结构
[0042] 406 第一导体M1
[0043] 408 第二导体M2
[0044] 410 第三导体M3
[0045] 412 第二PODE结构
[0046] 500 半导体元件
[0047] 502 主动区域
[0048] 504 导电线路Ma
[0049] 506 导电线路Mb
[0050] 508 导电线路Mc
[0051] 510 导电线路
[0052] 512 Hi-R结构
[0053] 514 Hi-R影响范围
[0054] 516 主动区域影响范围
[0055] 518 半导电材料
[0056] 600 方法
[0057] 600' 方法
[0058] 602 操作
[0059] 604 操作
[0060] 604' 操作
[0061] 606 操作
[0062] 608 操作
[0063] 610 操作
[0064] 612 操作
[0065] 613 操作
[0066] 614 操作
[0067] 614' 操作
[0068] 616 操作
[0069] 616' 操作
[0070] 618 操作
[0071] 618' 操作
[0072] 620 操作
[0073] 620' 操作
[0074] 700 部分
[0075] 702 目标主动区域
[0076] 704 影响范围
[0077] 706 影响面积
[0078] 708 主动区域
[0079] 710 主动区域
[0080] 712 主动区域
[0081] 714 主动区域
[0082] 716 主动区域
[0083] 718 主动区域
[0084] 720 主动区域
[0085] 722 0号金属(M0)
[0086] 724 1号金属(M1)
[0087] 726 金属(M2)
[0088] 728 影响面积
[0089] 730 水平影响范围
[0090] 732 影响面积
[0091] 734 水平影响范围
[0092] 736 影响面积
[0093] 800 部分
[0094] 802 目标主动区域
[0095] 804 通孔/触点
[0096] 806 导电图案M0
[0097] 808 通孔
[0098] 810 导电图案M1
[0099] 812 通孔
[0100] 814 导电图案M2
[0101] 816 通孔
[0102] 816' 通孔
[0103] 818 顶部导电图案MX
[0104] 820 ILD材料
[0105] 822 影响面积/影响窗口
[0106] 824 影响体积
[0107] 1100 电子过程控制(EPC)系统
[0108] 1102 处理器
[0109] 1104 计算机可读取储存媒体
[0110] 1106 计算机程序代码
[0111] 1108 过程控制数据
[0112] 1110 使用者界面
[0113] 1112 I/O接口
[0114] 1114 网络接口
[0115] 1116 网络
[0116] 1118 总线

具体实施方式

[0117] 意欲结合附图阅读对示例性实施例的描述,附图将被认为是整个书面描述的一部分。以下揭露内容提供了用于实施所提供标的的不同特征的许多不同实施例或实例。以下描述了部件、值、操作、材料、布置等的特定实例以简化本揭露内容。当然,该等仅仅是实例,而且非为限制性的。可设想到其他部件、值、操作、材料、布置等。例如,在以下描述中在第二特征上方或之上形成第一特征可以包括第一特征和第二特征形成为直接接触的实施例,并且亦可以包括可以在第一特征与第二特征之间形成额外特征,使得第一特征和第二特征可以不直接接触的实施例。另外,本揭露可以在各种实例中重复参考数字及/或字母。该重复是为了简单和清楚的目的,并且本身并不表示所论述的各种实施例及/或配置之间的关系。
[0118] 此外,在此可以使用空间相对术语,诸如“下方”、“以下”、“下部”、“上方”、“上部”等来简化描述,以描述如图中所示的一个元件或特征与另一元件或特征的关系。除了图中所示的取向之外,空间相对术语旨在包括使用或操作中的装置/元件的不同取向。设备可以以其他方式取向(旋转90度或在其他方向上),并且可以类似地相应解释在此使用的空间相对描述词。
[0119] 由于自我加热效应(SHE:self-heating effect),半导体元件趋于在使用期间升高温度。该等SHE限制了受影响的半导体元件的效能能力和操作寿命。例如,诸如鳍式场效应晶体管(FinFET:fin field effect transistor)之类的半导体元件中的自我加热效应将趋于降低元件效能和可靠性。
[0120] 因此,一些FinFET集成电路设计利用导电线路和互连通孔作为用于控制主动结构的温度的散热导管。然而,此种散热技术使导电线路的操作温度升高。当与导电线路的固有电流/电阻(IR:current/resistance)加热(也称为欧姆或焦耳加热)耦合时,来自其他主动元件的加热增加了加速的EM相关故障的风险。元件设计人员通过修改集成电路设计和/或操作参数来寻求在某种程度上解决由导电线路中的SHE引起的增加的EM风险,但是此种设计补偿趋于降低密度、降低效能和/或增加所得半导体元件的大小。
[0121] FinFET制程和所得半导体元件趋于提供超过平面半导体元件的功率、效能和面积优势。然而,FinFET集成电路设计倾向于表现出更大的局部电流密度,该更大的局部电流密度继而导致在FinFET半导体元件内包括讯号和电力轨互连件的导电线路中的更大EM故障问题。
[0122] 在一些情况下,FinFET半导体元件包括基板,在该基板中形成了主动区,在该主动区中形成了源极和漏极;保护环;复数个导电线路层,该复数个导电线路层由层间介电质(ILD:interlayer dielectric)材料层分隔;以及穿过ILD材料形成的通孔,该通孔用以建立到导电线路层和在导电线路层之间的电连接。取决于特定的集成电路设计,在半导体元件的主动区域内产生的热量将到达导电线路层的处于主动区域影响范围内的部分,并且在一定程度上穿过将导电线路连接到主动区域的通孔。
[0123] 因为FinFET集成电路设计中可用的散热路径受到鳍片结构的限制,所以在集成电路设计中将存在如此的区域和/或结构,在该等区域和/或结构中SHE导致升高的操作温度,该等升高的操作温度将增加加速的EM降级的可能性。在一些特别热敏感的集成电路设计中,少至10℃的温度升高能够使EM降级增大50%。对于与更先进的制程和/或高速/高效能集成电路设计相关的缩小的结构尺寸,关于SHE的问题倾向于增多。
[0124] 当电流流过导电线路并且电子将它们的动量的一部分转移到原子时,EM发生,从而倾向于沿电子流的方向推动原子。如图1中所示,导电线路区段100包括导电线路102,该导电线路102包括复数个金属原子104,该复数个金属原子104选自以下项的组:包括例如铝(Al)、铜(Cu)、钛(Ti)、钽(Ta)、钨(W)、铂(Pt)、钴(Co)在内的金属,以及在一些实施例中一种或多种合金金属或包括镍(Ni)、氮(N)和硅(Si)在内的其他元素,该复数个金属原子104已被图案化形成导电路径,以供电子106在阴极与阳极之间移动。在半导体元件操作期间从电子106到金属原子104的重复动量转移将使金属原子从其原始位置逐渐移位,从而增加导电线路102的不均匀性。
[0125] 在导电线路的金属原子104的移动减小导电线路102的横截面的彼等区域中,电流密度将增大并进一步加剧了薄化区域中的SHE和EM。包含此种薄化区域的导电线路102将表现出增大的电阻,并且将通常导致降低的效能,以及最终导致空隙或开路。相反,在导电线路102的金属原子104的移动增加导电线路102的横截面的彼等区域中,增厚区域(例如,凸起)将倾向于对周围材料施加应力并最终损害周围材料的结构完整性和/或产生到相邻导电线路或其他导体的短路。
[0126] 为了帮助减少或消除EM引起的故障,与制造制程相关的设计规则将包括EM规则,以限制穿过导电线路的平均电流密度,并考虑到半导体元件的预期操作期间导电线路的温度。对所有适用的EM规则合格的集成电路设计被批准用于EM验证和设计定案。
[0127] 在先进的FinFET制程中,SHE将使晶体管结构的温度升高特定量ΔT。产生ΔT的SHE的至少一部分将继而经由与晶体管直接接触和经由穿过介入材料(例如,ILD材料/层)传导而转移到导电线路。除了与FinFET结构相关联的SHE之外,在一些实施例中,存在于半导体元件上的其他高电阻元件(Hi-R)也将有助于对导电线路进行加热。
[0128] 不识别和不补偿由与半导体元件内的SHE元件的热耦合导致或引起的导电线路的操作温度升高的EM评估方法具有低估导电线路操作温度而增加的风险。低估导电线路操作温度将继而产生过于乐观的EM评估结果,将倾向于高估根据该设计制造的半导体元件的平均寿命,并导致受影响的半导体元件的过早现场故障。
[0129] 为了改进EM评估方法,EM评估应识别并考虑集成电路设计的彼等部分,在彼等部分中期望SHE升高导电线路的至少一部分的操作温度。
[0130] 在一些实施例中,自我加热感知EM评估方法将包括使用基于方程式的元件温度计算;使用伪3D热模型来估计元件与金属的热耦合范围和效应并产生调整的(或标称的)导电线路温度;以及在调整的金属温度下进行EM确认检查以补偿SHE并提高EM评估的准确性。
[0131] 在一些实施例中,与其他EM评估方法相比,自我加热感知EM评估方法将提供在集成电路设计内更准确的局部温度计算;在设计阶段期间更准确的热感知EM评估结果;降低的产品过早故障风险;使用基于方程式的元件温度计算而缩短的模拟运行时间;以及使用伪3D热模型而不是全3D热建模而缩短的EM仿真运行时间。
[0132] 在一些实施例中,自我加热感知EM评估考虑了各种热效应。在根据图2的实施例中,在EM评估期间考虑三种热效应,该三种热效应包括FinFET元件自我加热;Hi-R元件自我加热;以及导电线路自我加热。在图2中,半导体结构200包括FinFET结构202和Hi-R结构204,两者均嵌入在ILD结构208中并且将在半导体元件操作期间产生热量。在FinFET结构
202和Hi-R结构204中产生的一部分热量将继而经由穿过介入材料(未图示)进行热耦合而传递到导电线路206。除了从FinFET结构202和Hi-R结构204传递的热量之外,导电线路206亦将经历作为由导电线路传输的电流的函数的一定程度的自我加热。在一些实施例中,随后使用该三个热源的累积热效应来计算经调整的金属温度,该经调整的金属温度将用于EM评估。
[0133] 在根据图3的一些实施例中,EM工具300包括用于储存对应于集成电路布图的设计数据的数据库302或其他数据储存装置,该设计数据随后用于生成模拟的设计布图304。随后,将模拟的设计布图304与从记忆体306取得的元件温度等式结合使用以计算308在模拟的设计布局中识别出的发热元件的至少一部分(例如,FinFET和Hi-R结构)的预期温度增量ΔT。
[0134] 在一些实施例中,使用以下元件温度方程式Eq.1来计算FinFET结构的ΔT:
[0135] ΔT=RTHC×指状物效应×鳍片效应×功率每个鳍片·每个指状物       Eq.1[0136] 在一些实施例中,元件温度等式中包括的相关值和/或参数由制造厂提供,并入适用的设计规则中,或者从集成电路布局中提取并包括:
[0137] RTHC:热电阻值(通常由制造厂提供);
[0138] 鳍片的数目:根据集成电路布局确定;
[0139] 指状物的数目:根据集成电路布局确定;
[0140] 每个指状物每个鳍片的功率(瓦特)(通常由制造厂提供);
[0141] 指状物效应=指状物的数目;
[0142] 鳍片效应=鳍片的数目。
[0143] 在一些实施例中,元件温度方程式被提供为由半导体元件制造厂提供的设计工具的一部分,包括例如对应于特定制造制程的以集成电路为重点的模拟程式(SPICE:simulation program with integrated circuit emphasis)模型。
[0144] 在一些实施例中,计算主动区域的ΔTAR的是并入到特定主动区域中的每一结构的单独ΔT计算的函数。例如,在根据图4的一些实施例中,主动区域结构400的ΔTAR将是形成在扩散区域402上的第一扩散边缘上多晶硅(PODE:polysilicon over diffusion edge)结构404、第一导体M1 406、第二导体M2 408、第三导体M3 410和第二PODE结构412的ΔT计算的函数。该函数由如下所示的Eq.2表示。
[0145] ΔTOD=f(ΔTPODE1,ΔTM1,ΔTM2,ΔTM3,ΔTPODE2)              Eq.2
[0146] 在一些实施例中,此累积ΔTOD随后将在后续计算中用于评估该主动区与邻近的热敏结构(例如,导电线路)之间的热耦合的大小。
[0147] 使用从记忆体310取得的伪3D热模型,EM工具300随后计算由于自我加热,在集成电路布局中识别出的导电线路312和Hi-R结构314的预期温度增量ΔT。随后,EM工具300使用热敏结构和邻近的发热结构的ΔT数据,以根据集成电路布局计算在半导体元件的操作期间温度敏感结构(例如,导电线路)的经调节的操作温度TE。
[0148] 在一些实施例中,伪3D热模型将包含多个系数,例如a、b、c、d,该等系数将特定于所分析的集成电路设计中并入的材料和/或层中的每一者并且特定于将用于根据集成电路设计生产半导体元件的特定制造制程。在一些实施例中,热敏结构(例如,导电线路)的ΔT将是热敏结构的自我加热和来自热敏结构附近的其他发热结构的热贡献的函数。在一些实施例中,例如导电线路的ΔT将根据下面提供的Eq.3计算:
[0149] ΔTcon=ΔTrms+f(a,b,ΔTch,c,d,ΔThi-R,ΔT其它_元件,...)       Eq.3[0150] 在一些实施例中,包括在ΔT金属等式中的相关值和/或参数由制造厂提供,并入适用的设计规则中,或者从集成电路布局中提取并包括:
[0151] ΔTrms:电流诱导的金属加热;
[0152] ΔTch:FinFET元件自我加热;
[0153] ΔThi-R:Hi-R元件自我加热;
[0154] ΔT其他_元件:来自其他元件的元件自我加热。
[0155] 出于根据Eq.3进行ΔTcon计算的目的,其他元件将包括例如热耦合到所分析的导电线路的双极结型晶体管(BJT:bipolar junction transistor)、二极管和电阻器。提供每层和/或每种材料的热系数a、b、c和d并反映:
[0156] a=减额系数(或降额系数)值,反映小于最大能力的操作;
[0157] b=ΔTrms和ΔTch的函数[f(ΔTrms,ΔTch)];
[0158] c=与层/材料相关的层效应;
[0159] d=与层/材料相关的温度分布。
[0160] 随后,EM工具300使用热敏结构(例如,导电线路)的经调节的TE运行EM分析316,以提供对半导体元件的预期效能的更准确分析。在一些实施例中,EM工具将生成对应于EM分析合格的集成电路布局的设计定案数据文件318。
[0161] 在一些实施例中,预期在发热结构与热敏结构之间发生热耦合的范围(亦即影响范围或热耦合范围)由水平距离(亦即来自相关的发热结构的影响范围或热耦合范围)限定。在根据图5A的一些实施例中,半导体元件500包括多个热敏结构,例如导电层,包括例如导电线路Ma 504、导电线路Mb 506、导电线路Mc 508和导电线路Md 508;以及两个发热结构,亦即主动区域502和Hi-R结构512,该两个发热结构布置在介电质和/或半导电材料518内。关于结构512,Hi-R影响范围514设置为Aμm,而对于主动区域502,主动区域影响范围516设置为Bμm。随后,经由结构500竖直投射Hi-R和主动区域影响范围,以限定Hi-R影响面积和主动区域影响面积。
[0162] 根据Eq.3进行的热敏结构的ΔTcon计算包括基于导电线路504、506、508、510的位置,关于Hi-R影响面积和主动区域影响面积的贡献。例如,在根据图5A的一些实施例中,导电线路504的ΔTcon将包括来自主动区域影响面积和Hi-R影响面积的热贡献,导电线路506的ΔTcon将不包括来自主动区域影响面积或Hi-R影响面积的热贡献,导电线路508的ΔTcon将包括仅来自主动区域影响面积的热贡献,并且导电线路510的ΔTcon将包括仅来自Hi-R影响面积的热贡献。
[0163] 在一些实施例中,预期在发热结构与热敏结构之间发生热耦合的范围,亦即影响范围,由从相关的发热结构延伸的距离限定。在根据图5B的一些实施例中,半导体元件500包括多个热敏结构,亦即导电线路Ma 504、导电线路Mb 506、导电线路Mc 508和导电线路Md 508;以及两个发热结构,亦即主动区域502和Hi-R结构512,该两个发热结构布置在介电质和/或半导电材料518内。关于结构512,Hi-R影响范围514设置为Aμm,而对于主动区域502,主动区域影响范围516设置为Bμm。随后,经由结构500旋转Hi-R和主动区域影响范围,以限定Hi-R影响面积和主动区域影响面积。
[0164] 根据Eq.3进行的热敏结构的ΔTcon计算包括基于导电线路504、506、508、510的位置,关于Hi-R影响面积和主动区域影响面积的贡献。例如,在根据图5B的实施例中,导电线路504的ΔTcon包括来自主动区影响面积和Hi-R影响面积两者的热贡献,导电线路506的ΔTcon不包括来自主动区影响面积或Hi-R影响面积的热贡献,导电线路508的ΔTcon不包括来自主动区影响面积或Hi-R影响面积的热贡献,并且导电线路510的ΔTcon包括仅来自Hi-R影响面积的热贡献。
[0165] 在根据图5A和/或图5B的一些实施例中,与两个或更多个发热结构相关联的影响面积至少部分地重叠以限定组合的影响面积。
[0166] 图6A是通过考虑由发热结构(亦即,预计具有的操作温度高于温度敏感结构的操作温度的结构,该等温度敏感结构位于与特定发热结构相关的加热影响面积(已知或预期有热耦合的面积)内)产生的温度升高的降级效应来改善与集成电路设计相关地进行的EM评估的准确度的方法600的流程图。在一些实施例中,评估发热结构的SHE将表明,由于发热结构的接近和操作温度,热敏结构的效能和/或寿命将降级。通过识别和补偿从发热结构发出的额外热量,根据一些实施例的EM评估将提供对半导体元件的预期效能的更悲观和更准确的估计,从而增加半导体元件将满足或超出客户的期望的可能性。
[0167] 在根据图6A的方法的一些实施例中,在操作602中,在对进行评估的集成电路设计布局进行结构和/或操作评审期间识别一个或多个温度敏感结构(TSS:temperature sensitive structure)(例如晶体管、导电线路和操作温度升高将使效能和/或寿命降级的其他结构)。在操作604中,对温度敏感结构的邻近结构(例如围绕和/或相邻于温度敏感结构)进行评估以识别为发热结构(HGS:heat generating sturcture)。为了被识别为发热结构,亦即邻近结构将表现出至少以下特性:1)操作温度满足或超过高于温度敏感结构的预期操作温度的预定温度水平,以及2)位置在由温度敏感结构(或由发热结构)限定的影响面积内,该位置允许发热结构与温度敏感结构之间的热耦合。随后将具有或表现出足以满足所记载特性的操作温度和位置的邻近结构识别为发热结构。
[0168] 操作606涉及关于邻近结构(PS:proximate structure)已经被成功评估而潜在识别为发热结构的程度的查询。若已经评估了少于所有邻近结构,则方法600从操作606分支到操作608,以便识别要评估为发热结构的下一个邻近结构,并返回到操作604以评估该下一个邻近结构。当已经评估了可能识别为发热结构的所有邻近结构时,方法600分支到操作610,以计算来自每个识别出的温度敏感结构对温度敏感结构的操作温度的ΔT贡献。
[0169] 操作612涉及关于集成电路设计中的温度敏感结构(TSS)已被成功评估而潜在识别为发热结构的程度的查询。若已经识别出集成电路设计上的少于所有的温度敏感结构,则方法600操作从操作612分支到操作613。在操作613期间,在集成电路设计上识别下一个温度敏感结构。随后,操作613中的识别返回到操作604,以识别靠近该下一个温度敏感结构的发热结构。
[0170] 在选择性的操作614期间,根据图6B中详述的方法评估邻近的散热元件(例如,围绕的和/或邻近的温度敏感结构)的影响,以便考虑到靠近温度敏感结构的结构的加热和冷却效应而提供更精确的经调节温度。在操作614中,评估邻近结构以识别为散热元件(HSE:heat sink element)。为了被识别为散热元件,邻近结构将表现出至少以下特性:1)操作温度满足或超过低于温度敏感结构的预期操作温度的预定温度差的,以及2)位置在由温度敏感结构(或由散热元件)限定的影响面积内,该位置允许在邻近的散热元件与温度敏感结构之间的热耦合。随后将具有或表现出足以满足所记载特性的操作温度和位置的邻近结构识别为散热元件。
[0171] 在操作616,使用考虑到加热效应的经调节温度T'H或考虑到关于温度敏感结构的加热和冷却效应的完全调节温度T”来运行EM评估,以确定所评估的集成电路设计布局是否满足特定设计的寿命和效能目标。在一些实施例中,对于操作616的EM评估合格的集成电路设计布局,在选择性的操作618中生成对应于合格的集成电路设计布局的设计定案数据文件。对于设计定案数据文件生成所针对的彼等集成电路设计布局,在选择性的操作620中将使用该设计定案数据文件来根据合格的集成电路设计布局制造半导体元件。
[0172] 图6B是通过考虑到散热元件(HSE)(亦即,预计操作温度低于邻近的发热结构和/或温度敏感结构的元件或结构)的温度调节效应来改善与集成电路设计相关地进行的EM评估的准确性的方法600'的流程图。在一些实施例中,考虑散热结构的影响将有助于补偿热敏结构与发热结构和/或热敏结构的SHE之间的热耦合,从而提供对热敏结构的操作温度更低且更准确的估计并提高后续EM评估的准确性。
[0173] 在根据图6B的方法的实施例中,在操作602中,在对进行评估的集成电路设计布局进行结构和/或操作评审期间识别一个或多个温度敏感结构(TSS)(例如晶体管、导电线路和操作温度升高将使效能和/或寿命降级的其他结构)。在操作604'中,对可能识别为散热元件(HSE)的邻近(例如,围绕和/或靠近于)温度敏感结构的结构进行评估。为了被识别为散热元件,邻近结构将表现出至少以下特性:1)比温度敏感结构的预期操作温度低预定温度水平的操作温度,以及2)物理接近度允许在邻近的散热元件与温度敏感结构之间的热耦合。随后将具有或表现出足以满足所记载特性的操作温度和位置的邻近结构识别为散热元件。
[0174] 操作606涉及关于邻近结构已经被成功评估而潜在识别为散热元件的程度的查询。若已经评估了少于所有邻近结构,则方法600从操作606分支到操作608,以便识别要评估为散热元件的下一个邻近结构,并返回到操作604'以评估该下一个邻近结构。当已经评估了可能识别为发热结构的所有邻近结构时,方法600分支到操作610,以计算来自每个识别出的散热元件对温度敏感结构的操作温度的ΔT贡献。
[0175] 操作612涉及关于集成电路设计中的温度敏感结构已被成功评估而潜在识别为发热结构的程度的查询。若已经识别出集成电路设计上的少于所有的温度敏感结构,则方法600操作从操作612分支到操作613。在操作613期间,在集成电路设计上识别下一个温度敏感结构。随后,操作613中的识别返回到操作604',以识别靠近该下一个温度敏感结构的热元件。
[0176] 在选择性的操作614'期间,根据图6A中详述的方法评估靠近温度敏感结构的散热元件的影响,以便考虑到靠近温度敏感结构的结构的加热和冷却效应而提供更真实的完全调节的温度。
[0177] 在一些实施例中,在操作616'中,使用考虑到关于温度敏感结构的冷却效应的经调节的温度T'C来运行EM评估,以确定所评估的集成电路设计布局是否满足特定设计的寿命和效能目标。在一些实施例中,对于操作616'的EM评估合格的集成电路设计布局,在选择性的操作618'中生成对应于合格的集成电路设计布局的设计定案数据文件。对于设计定案数据文件生成所针对的彼等集成电路设计布局,在选择性的操作620'中将使用该设计定案数据文件来根据合格的集成电路设计布局制造半导体元件。
[0178] 在一些实施例中,对邻近结构的评估包括将邻近热敏结构的各个结构识别为发热结构、散热元件或中性结构,该中性结构形成热敏结构与其他结构和/或元件之间的热耦合的传输路径的一部分。在一些实施例中,对被识别为发热结构的邻近结构和被识别为散热元件的邻近结构两者对热敏结构的温度影响进行共同评估,以产生完全调节的温度T”,随后将该完全调节的温度用于EM评估中。
[0179] 在一些实施例中,根据图7A的集成电路设计布局的部分700,温度敏感结构(例如,目标主动区域702)与包括额外主动区域708、710、712、714、716、718和720的多个周围结构和包括0号金属M0 722、1号金属M1 724和2号金属M2 726的导电线路相关联。在一些实施例中,邻近结构的识别将基于从目标主动区域702水平延伸Xμm的影响范围704,以限定影响面积,其中继而竖直投射该影响面积以限定影响体积。
[0180] 影响面积706被定义为目标主动区域的周边的影响范围内的水平区域。将该影响面积706竖直投射,或围绕目标主动区域的纵向轴线旋转,以限定影响体积。落入该影响体积内或至少部分地位于该影响体积内的周围结构具有影响目标主动区域的潜力并且被称为邻近结构。在一些实施例中,继而随后评估该等邻近结构中的每一个以便识别为相对于目标主动区域的发热结构或散热元件。在一些实施例中,在将邻近结构识别为发热结构或散热元件之前,目标主动区域702与邻近结构之间的估计操作温度的温度差必须超过预定温度差。
[0181] 在图7A中,主动区域708、712、716表现出足够的温差,并用于计算目标主动区域的经调节和/或经完全调节的操作温度,该经调节和/或经完全调节的操作温度将在随后的EM评估中使用。
[0182] 在根据图7A的一些实施例中,使用Eq.4来计算邻近主动区域(亦即围绕目标主动区域的彼等主动区域,或其部分)的散热效应,该等邻近主动区域:
[0183] 在目标主动区域周边的影响范围X内;
[0184] 经由一个或多个中介层或一种或多种材料而热耦合到目标主动区域;以及[0185] 被充分“冷却”,亦即具有的预计操作温度比目标主动区域的预计操作温度低至少预定值(℃)或百分比。
[0186]
[0187] ΔTch:没有散热效应的原始主动区域的温度;
[0188] ΔTch_p_FE:具有前端(FE)散热效应的主动区域温度;
[0189] 面积t_AR:目标主动区域的面积;
[0190] 面积邻近_AR:有效的邻近连接AR散热面积;
[0191] Σ_AR:所有邻近连接的AR的(RFAR*面积邻近_AR)的和;
[0192] RFAR:硅基的主动区域散热的拟合因子。
[0193] 在一些实施例中,根据图7B的集成电路设计布局的部分700,温度敏感结构(例如,目标主动区域702)与包括额外主动区域708、710、712、714、716、718和720的多个周围结构和包括0号金属(M0)722、1号金属(M1)724和金属(M2)726的导电线路相关联。与聚焦于用于限定影响范围的目标主动区域的图7A中反映的实施例不同,在根据图7B的一些实施例中,邻近结构的识别为基于每个周围结构相对于目标主动区域702的特定影响范围。因此,彼等相对于目标主动区域702表现出更大温差的邻近结构(或者由更导热的材料与目标主动区域分开的邻近结构)具有比其他邻近结构相应更大的影响范围。如图7B所示,主动区域708具有限定影响面积728的Z1μm的水平影响范围726,主动区域712具有限定影响面积732的Z2μm的水平影响范围730,并且主动区域716具有限定影响面积736的Z3μm的水平影响范围734。
[0194] 在根据图7B的一些实施例中,使用影响面积728、732和736来将周围结构中水平影响范围涵盖目标主动区域702的至少一部分的周围结构识别为邻近结构。在一些实施例中,在将邻近结构识别为发热结构或散热元件之前,目标主动区域702与邻近结构之间的估计操作温度的温度差必须超过预定水平。
[0195] 在图7B中,主动区域708、712、716表现出超过预定水平的温差和与目标主动区域702的一部分重叠的影响面积,并将用于计算目标主动区域的经调节和/或经完全调节的操作温度,该经调节和/或经完全调节的操作温度将在随后的EM评估中使用。
[0196] 在根据图7B的一些实施例中,使用Eq.5来计算邻近主动区域(亦即围绕目标主动区域的彼等主动区域,或其部分)的散热效应,该等邻近主动区域:
[0197] 在每个邻近主动区域的周边的影响范围Z内;
[0198] 经由一个或多个中介层或一种或多种材料而热耦合到目标主动区域;以及[0199] 被充分“冷却”,亦即具有的预计操作温度比目标主动区域的预计操作温度低至少预定值(℃)或百分比。
[0200]
[0201] ΔTch:没有散热效应的原始主动区域的温度;
[0202] ΔTch_p_FE:具有前端(FE)散热效应的主动区域温度;
[0203] 面积t_AR:目标主动区域的面积;
[0204] 面积邻近_AR:有效的邻近连接主动区域的散热面积;
[0205] Σ_AR:所有邻近连接的主动区域的(RFAR*面积邻近_AR)的和;
[0206] RFAR:硅基的主动区域散热的拟合因子。
[0207] 除了邻近的主动区域之外,目标主动区域将受到一个或多个后端(BE)或线路后端(BEOL:back end of line)结构(特别是导电线路)的接近度的影响,该等后端(BE)或线路后端(BEOL)结构表现出比围绕并分隔目标主动区域和邻近主动区域的绝缘材料更大的导热性。在一些实施例中,将使用散热等式Eq.6来识别和确定邻近BE结构(导电线路)对目标主动区域的操作温度的贡献(若有)。邻近BE结构是彼等在目标主动区域的影响距离内并且热耦合到目标主动区域的BE结构。在一些实施例中,将使用Eq.7来计算最终主动区域温度,其中ΔTch_p为初始目标主动区域温度(其结合SHE和热耦合到其他结构)、归因于FE结构的散热效应和可归因于BE结构的散热效应的函数。
[0208]
[0209] ΔTch_p=f(ΔTch,ΔTch_p_FE,ΔTch_p_BE)                  Eq.7
[0210] ΔTch:没有散热效应的原始主动区域的温度;
[0211] ΔTch_p:具有两种散热效应的主动区域温度;
[0212] ΔTch_p_FE:具有FE散热效应的主动区域温度;
[0213] ΔTch_p_BE:具有BE效应效应的主动区域温度;
[0214] 面积t_AR:目标主动区域的面积;
[0215] 面积m:金属层的有效金属散热面积(m);
[0216] Σ_m:M0~MX的(RFm*面积m)的和;
[0217] RFm:硅基的金属散热的拟合因子。
[0218] 例如,在EM评估期间考虑SHE有助于防止集成电路设计布局被表征为比相应的半导体元件将在操作中实际实现的更耐EM效应,从而有助于防止半导体元件的不可预见且过早的现场故障。然而,仅考虑SHE产生了用于评估的最坏情况。在一些集成电路设计布局中,其他附近或邻近结构将提供散热功能,该散热功能将减轻SHE、提供对温度敏感结构的操作温度的更准确估计,并减少对不必要的重新设计的需要,从而改善所得半导体元件的热效能。
[0219] 因此,考虑到关于温度敏感结构和/或功能的SHE和散热效应的EM验证方法有助于提高集成电路设计布局分析的准确性。通过提高集成电路设计布局分析的准确性,该方法的实施例将增加根据特定集成电路设计布局制造的半导体元件将按照期望执行并且在半导体元件的设计寿命期间保持令人满意的效能的置信度。
[0220] 考虑到特定集成电路设计布局的热效应的EM验证方法的效率通过利用基于等式的热评估而得到改善,从而避免了更慢、更耗时和耗计算资源的热建模。通过利用伪三维模型来评估包括线路前端(FEOL)和线路后端(BEOL)结构的各种部件之间的热耦合,进一步改善了验证方法的一些实施例的效率。
[0221] 在一些实施例中,BE结构,特别是导电线路,是EM评估的焦点。在一些实施例中,用于生成最终导电线路温度的EM工具将通过考虑环境温度TEnv(或初始温度T0)和根据Eq.8计算的ΔT金属来确定最终T金属。在一些实施例中,随后将使用由制造厂提供的或从另一来源获得的温度额定因数来评估最终的T金属。在一些实施例中,温度额定因数将与特定的集成电路设计布局相关联,而在其他实施例中,温度额定因数将与将用于生产半导体元件的特定制造制程相关联。通常,最终T金属的值(或更广泛地,标称温度Tnom)越高,则特定设计将接收的温度额定因数越低。
[0222] T金属=TEnv+ΔT金属                                   Eq.8
[0223] 在一些实施例中,上面详述的EM方法将应用于预期SHE为因子的任何集成电路设计布局和/或半导体制造制程。在一些实施例中,集成电路设计布局将包括FinFET元件和/或其他平面或更复杂的结构半导体制造制程。
[0224] 在一些实施例中,自我加热感知EM评估识别集成电路设计布局中的彼等区域(若有),在彼等区域中SHE导致局部加热,例如“热点”,该“热点”将降低根据集成电路设计制造的半导体元件的整体EM效能和/或寿命。在一些实施例中,初始自我加热感知EM评估与散热感知EM评估相结合,以便确定一个或多个周围结构是否能够减轻先前识别的自我加热效应和/或热耦合效应,并从而改善集成电路设计布局的EM效能。
[0225] 在一些实施例中,执行散热感知EM评估利用电子设计自动化(EDA:electronic design automation)(也称为电子计算机辅助设计(ECAD:electronic computer-aided design))工具来识别集成电路设计布局中的潜在散热结构。在一些实施例中,EDA工具被配置用以从集成电路设计布局数据库(DB:database)识别潜在的热点和散热结构。潜在的散热结构包括例如主动区域、保护环、导电线路、通孔,以及位于所识别的热点或温度敏感结构的预定影响范围内的其他结构。在一些实施例中,集成电路设计布局DB将利用开放式的作品系统交换标准(OASIS:open artwork system interchange standard)或另一种用于表示集成电路设计布局的语言。
[0226] 在一些实施例中,潜在散热结构的评估将包括考虑参数,该等参数包括例如结构尺寸、材料特性、介入材料、环境条件和预期(计算的)操作温度。在一些实施例中,EDA工具被配置用以计算可归因于所识别的散热结构的总散热效应,以及提供对热点温度的温度调节(降低)。在一些实施例中,EDA工具将利用温度调节来确定集成电路设计布局的EM效能。
[0227] 在一些实施例中,散热感知EM验证方法将在集成电路设计布局内提供更准确的局部温度计算;在设计阶段期间更准确的热感知EM评估结果;降低产品过早故障和/或过度设计的风险;使用基于方程式的元件温度计算来缩短模拟运行时间;以及使用伪3D热模型而不是完整的3D热建模来缩短EM仿真运行时间。
[0228] 在一些实施例中,BE结构,特别是导电线路和通孔,将用作温度敏感FE结构(例如,主动区域)的散热器。在一些实施例中,根据图8中的集成电路设计布局的部分800的横截面,目标主动区域802具有影响范围810并且经由通孔/触点804连接到导电图案M0 806。导电图案M0又经由通孔808连接到导电图案M1 810,导电图案M1经由通孔812连接到导电图案M2 814。导电图案M2经由通孔816连接到上部导电图案。每个导电图案由ILD材料820分开。顶部导电图案MX 818经由通孔816'连接到下部导电图案。
[0229] 将继而使用影响范围810来限定影响面积(或影响窗口)822,该影响面积包括目标主动区域和距目标主动区域802在Xμm内的周围区域。将继而使用影响面积822来限定将包含邻近结构的至少部分的影响体积824。在一些实施例中,导电图案806、810、814、818中的每一个将具有位于影响体积824内的对应部分,其中对应部分具有对应面积AREAM0、AREAM1、AREAM2、AREAMX。落入通过使影响范围810从目标主动区域的周边延伸而限定的影响窗口内的导电图案的每个部分将具有相应的长度、面积、体积,以及将确定于目标主动区域的散热活动程度的T金属。
[0230] 图9A至图9D是使用不同技术和/或方法,根据一些实施例进行的EM评估的结果的变换的透视图。图9A是基础EM评估,该基础EM评估不包括对任何散热器影响的考虑,并且因此在集成电路设计的中心附近呈现热点。图9B是EM评估的结果,该EM评估补偿保护环(线路前端(FEOL)散热结构)的存在并反映图9A中发现的热点的温度降低。图9C是EM评估的结果,该EM评估补偿主动区域上方的导电(金属)图案(线路后端(BEOL)散热结构)的存在并反映图9A中发现的热点的温度降低。图9D是EM评估的结果,该EM评估补偿主动区域上方的保护环和导电图案(导电线路)(FEOL和BEOL散热结构)的存在并反映在图9A中发现的热点的温度降低比在图9B或图9C中的结果甚至更大。
[0231] 图10是包括根据一些实施例进行的EM评估的结果的变换的表格,该等EM评估包括在计算集成电路设计布局的一部分上的所示特征的ΔT的过程中进行的散热分析。如图10所反映的,邻近热敏结构的散热结构使得与在不考虑散热结构的影响的情况下获得的ΔT值相比,热敏结构的计算的ΔT降低2.4℃(从12℃降低至9.6℃)。ΔT的减小将继而改善集成电路设计布局的EM评估结果。
[0232] 图11是根据一些实施例的电子过程控制(EPC)系统1100的方块图。根据一些实施例,本文描述的根据一个或多个实施例生成单元布局图的方法可以例如使用EPC系统1100来实施。在一些实施例中,EPC系统1100是通用计算装置,该通用计算装置包括硬件处理器1102和非暂时性计算机可读取储存媒体1104。此外,储存媒体1104被编码有,亦即储存计算机程序代码(或指令)1106,亦即一组可执行指令。由硬件处理器1102执行计算机程序代码
1106表示(至少部分地)EPC工具,该EPC工具根据一个或多个(下文中,所提及的过程和/或方法)来实施例如本文所述的方法的一部分或全部。
[0233] 硬件处理器1102经由总线1118电耦合到计算机可读储存媒体1104。硬件处理器1102亦经由总线1118电耦合到I/O接口1112。网络接口1114亦经由总线1118电连接到硬件处理器1102。网络接口1114连接到网络1116,使得硬件处理器1102和计算机可读取储存媒体1104能够经由网络1116连接到外部元件。硬件处理器1102被配置为执行在计算机可读取储存媒体1104中编码的计算机程序代码1106,以便使EPC系统1100可用于执行所提及的过程和/或方法的一部分或全部。在一个或多个实施例中,硬件处理器1102是中央处理单元(CPU:central processing unit)、多处理器、分布式处理系统、特殊应用集成电路(ASIC:
application specific integrated circuit),及/或合适的处理单元。
[0234] 在一个或多个实施例中,计算机可读取储存媒体1104是电子、磁、光学、电磁、红外及/或半导体系统(或设备或装置)。例如,计算机可读取储存媒体1104包括半导体或固态记忆体、磁带、可移式计算机磁盘、随机存取记忆体(RAM)、只读记忆体(ROM)、刚性磁盘,及/或光盘。在使用光盘的一个或多个实施例中,计算机可读取储存媒体1104包括光盘-只读记忆体(CD-ROM)、光盘-读/写(CD-R/W)和/或数字视频光盘(DVD)。
[0235] 在一个或多个实施例中,储存媒体1104储存计算机程序代码1106,该计算机程序代码1106被配置为用以使EPC系统1100(其中此种执行表示(至少部分地)EPC工具)可用于执行所提及的过程及/或方法的一部分或全部。在一个或多个实施例中,储存媒体1104亦储存有助于执行所提及的过程及/或方法的一部分或全部的信息。在一个或多个实施例中,储存媒体1104储存过程控制数据1108,该过程控制数据1108在一些实施例中包括控制演算法、过程变量和常数、目标范围、设定点和代码,以用于启用基于统计过程控制(SPC:statistical process control)和/或模型预测控制(MPC:model predictive control)的对各种过程的控制。
[0236] EPC系统1100包括I/O接口1112。I/O接口1112耦合到外部电路。在一个或多个实施例中,I/O接口1112包括键盘、小键盘、鼠标、轨迹球、触控板、触摸屏和/或光标方向键,以用于将信息和命令传送到硬件处理器1102。
[0237] EPC系统1100亦包括耦合到硬件处理器1102的网络接口1114。网络接口1114允许EPC系统1100与网络1116通信,一个或多个其他计算机系统连接到该网络1116。网络接口1114包括无线网络接口,诸如BLUETOOTh、WIFI、WIMAX、GPRS或WCDMA;或有线网络接口,诸如EThERNET、USB或IEEE-1364。在一个或多个实施例中,在两个或更多个EPC系统1100中实施所提及的过程和/或方法的一部分或全部。
[0238] EPC系统1100被配置为用以经由I/O接口1112接收信息。经由I/O接口1112接收的信息包括用于由硬件处理器1102处理的指令、数据、设计规则、过程执行历史、目标范围、设定点和/或其他参数中的一者或多者。该信息经由总线1118传送到硬件处理器1102。EPC系统1100被配置为经由I/O接口1112接收与使用者界面(UI:user interface)有关的信息。该信息作为使用者界面(UI)1110储存在计算机可读取媒体1104中。
[0239] 在一些实施例中,该等过程和/或方法的一部分或全部被实施为用于由处理器执行的独立软件应用程序。在一些实施例中,该等过程和/或方法的一部分或全部被实施为作为额外软件应用程序的一部分的软件应用程序。在一些实施例中,该等过程和/或方法的一部分或全部被实施为软件应用程序的插件。在一些实施例中,所提到的过程和/或方法中的至少一个被实施为作为EPC工具的一部分的软件应用程序。在一些实施例中,该等过程和/或方法的一部分或全部被实施为由EPC系统1100使用的软件应用程序。
[0240] 在一些实施例中,该过程被实施为储存在非暂时性计算机可读取记录媒体中的程序的功能。非暂时性计算机可读取记录媒体的实例包括但不限于外部/可移式和/或内部/内置储存器或记忆体单元,例如以下项中的一种或多种:光盘(诸如DVD)、磁盘(诸如硬盘)、半导体记忆体(诸如ROM、RAM、记忆卡)等。
[0241] 在一些实施例中,用于评估集成电路设计布局的热敏结构的方法将由一个或多个处理器执行,并且将利用包括以下的操作:识别集成电路设计中的热敏结构,该热敏结构具有标称温度Tnom;识别热敏结构的热耦合范围内的第一发热结构;计算第一发热结构的操作温度Toph1;计算由与处于操作温度Toph1的第一发热结构热耦合而诱导的热敏结构的温度升高ΔTh1;以及在评估温度TE=Tnom+ΔTh下进行对热敏结构的评估。
[0242] 在一些实施例中,上述的方法亦包括以下额外操作:识别热敏结构的热耦合范围内的第二发热结构;计算该第二发热结构的操作温度Toph2;计算由与处于操作温度Toph2的第二发热结构热耦合而诱导的热敏结构的ΔTh2;以及在评估温度TE=Tnom+ΔTh1+ΔTh2下对热敏结构进行评估。
[0243] 在一些实施例中,上述的方法中,热敏结构包括导电线路,并且评估热敏结构包括对导电线路进行电迁移分析。
[0244] 在一些实施例中,上述的方法中,第一发热结构是FinFET结构。
[0245] 在一些实施例中,上述的方法中,第二发热结构是高电阻(Hi-R)结构。
[0246] 在一些实施例中,上述的方法中,第二发热结构是非金属的。
[0247] 在一些实施例中,上述的方法,亦包括以下额外操作:识别热敏结构的热耦合范围内的第一散热元件;计算第一散热元件的操作温度Topc1;计算由与处于操作温度Topc1的第一散热元件热耦合而诱导的热敏结构的ΔTc1;以及在评估温度TE=Tnom+ΔTh1+ΔTh2+ΔTc1下对热敏结构进行评估。
[0248] 在一些实施例中,上述的方法,亦包括以下额外操作:验证热敏结构对于评估合格;以及根据集成电路设计布局来制造半导体元件。
[0249] 在一些实施例中,热敏结构是导电线路,并且评估包括对导电线路的电迁移分析。在一些实施例中,第一发热结构是FinFET结构,第二发热结构是高电阻(Hi-R)结构,和/或第二发热结构是非金属的。该方法的一些实施例亦将包括以下额外操作:从集成电路设计的数据库取得集成电路设计;生成该集成电路设计的伪3D模型;和/或取得该集成电路设计的热耦合数据。
[0250] 在一些实施例中,用于评估集成电路设计布局的热敏结构的方法将利用包括以下项的操作:识别热敏结构;计算标称温度Tnom;识别热敏结构的第一热耦合范围内的第一散热结构;计算散热结构的操作温度Topc1;计算由与散热结构的操作温度Topc1热耦合而诱导的热敏结构的ΔTc;以及在评估温度TE=Tnom+ΔTc1下对热敏结构进行效能评估。
[0251] 在一些实施例中,上述的方法亦包括以下额外操作:识别热敏结构的第二热耦合范围内的第二散热结构;计算第二散热结构的操作温度Topc2;计算由与处于操作温度Topc2的第二散热结构热耦合而诱导的热敏结构的ΔTc2;以及在评估温度TE=Tnom+ΔTc1+ΔTc2下进行对热敏结构的评估。
[0252] 在一些实施例中,上述的方法中,热敏结构是FinFET元件;并且进行效能评估包括进行对FinFET元件的效能分析。
[0253] 在一些实施例中,上述的方法中,第一热耦合范围和第二热耦合范围是不同的。
[0254] 在一些实施例中,上述的方法中,计算标称温度Tnom包括对热敏结构的自我加热效应ΔT和环境温度条件TEnv的分析,其中Tnom=TEnv+ΔT。
[0255] 在一些实施例中,上述的方法中,第一散热结构是主动区域。
[0256] 在一些实施例中,上述的方法中,第一散热结构是金属图案的一部分。
[0257] 在一些实施例中,上述的方法亦包括以下额外操作:从集成电路设计数据库中取得对应于集成电路设计的设计数据;以及分析设计数据以识别热敏结构和第一散热结构。
[0258] 在一些实施例中,上述的方法亦包括以下额外操作:识别集成电路设计中的多个导电层;以及取得与该等导电层中的每一个相关联的多个热系数,其中该等热系数包括降额系数、层效应和温度分布。
[0259] 在一些实施例中,热敏结构是FinFET元件并且进行效能评估包括进行对FinFET元件的效能分析。在一些实施例中,第一热耦合范围和第二热耦合范围是不同的。该方法的一些实施例亦将包括以下额外操作:通过分析热敏结构的自我加热效应ΔT和环境温度条件TEnv来计算标称温度Tnom,其中Tnom=TEnv+ΔT。在一些实施例中,第一散热结构是氧化物限定(OD)区域或金属图案的一部分。该方法的一些实施例亦将包括以下额外操作:从集成电路设计的数据库取得对应于集成电路设计的设计数据并分析该设计数据以识别集成电路设计布局内的热敏结构和第一散热结构;识别集成电路设计中的复数个导电层;以及取得与该复数个导电层中的每一个相关联的复数个热系数,其中该等热系数包括降额系数、层效应和温度分布。
[0260] 在一些实施例中,用于评估集成电路设计的热敏结构的方法将包括以下操作:识别具有标称温度Tnom的热敏结构;识别热敏结构的第一热耦合范围内的第一发热结构;计算发热结构的操作温度Toph;计算由与发热结构热耦合而诱导的热敏结构的ΔTh;识别该热敏结构的第二热耦合范围内的散热结构;计算该散热结构的操作温度Topc;计算由与该散热结构热耦合而诱导的热敏结构的ΔTc;以及在经调节的温度TE=Tnom+ΔTh+ΔTc下对热敏结构进行评估。
[0261] 在一些实施例中,上述的方法中,评估将包括电迁移和/或效能分析。
[0262] 在一些实施例中,上述的方法亦包括以下额外操作:确定集成电路设计是否评估合格;以及若集成电路设计评估合格,则将生成新的设计定案文件,新的设计定案文件将用于根据集成电路设计来制造半导体元件。
[0263] 本揭示提供了通过考虑发热结构(例如,FinFET元件)与温度敏感结构(亦即,导电线路)之间的SHE和热耦合以提供对根据此种集成电路设计制造的半导体元件的效能和寿命的更准确估计,以结合对集成电路设计的分析来改进EM验证方法的方法的实施例。尽管已经根据示例性实施例描述了标的,但是本发明不限于此。相反,所附申请专利范围应当被广义地解释为包括可由本领域技艺人士做出的其他变体和实施例。
[0264] 先前概述了若干实施例的特征,使得本领域技艺人士可以更好地理解本揭露的各方面。本领域技艺人士应当理解,他们可以容易地使用本揭露作为设计或修改其他制程和结构的基础,以实现与本文介绍的实施例相同的目的及/或实现与本文介绍的实施例相同的优点。本领域技艺人士亦应当认识到,此类等同构造不脱离本揭露的精神和范围,并且在不脱离本揭露的精神和范围的情况下,他们可以在本文中进行各种改变、替换和变更。