确定所关注的参数的值的方法、清除包含关于所关注的参数的信息的信号的方法转让专利

申请号 : CN201880069926.2

文献号 : CN111279268A

文献日 :

基本信息:

PDF:

法律信息:

相似专利:

发明人 : 周子理G·范德祖克N·潘迪M·G·M·M·范卡拉埃吉M·H·M·范维尔特A·齐亚托马斯S·塔拉布林H·D·博斯

申请人 : ASML荷兰有限公司

摘要 :

本公开涉及确定图案化过程的所关注的参数的值和清除包含关于所述所关注的参数的信息的信号的方法。在一种布置中,获得辐射的检测到的第一表示与第二表示。所述辐射由通过结构对偏振后的入射辐射的重引导提供。分别从重引导后的辐射的第一偏振分量与第二偏振分量导出检测到的所述第一表示与第二表示。检测到的所述第一表示的不对称性包括来自所述所关注的参数的贡献和来自不对称性的一个或更多个其它来源的贡献。相比于检测到的所述第一表示的不对称性,检测到的所述第二表示的不对称性包括相对于来自所述所关注的参数的贡献更大的来自不对称性的所述一个或更多个其它来源的贡献。检测到的所述第一表示与第二表示的组合用于确定所述所关注的参数的值。

权利要求 :

1.一种确定图案化过程的所关注的参数的值的方法,所述方法包括:获得辐射的检测到的第一表示与第二表示,所述辐射由通过使用所述图案化过程形成的结构对偏振后的入射辐射的重引导提供,分别从重引导后的辐射的第一偏振分量与第二偏振分量导出检测到的所述第一表示与第二表示,其中:检测到的所述第一表示的不对称性包括来自所述所关注的参数的贡献和来自不对称性的一个或更多个其它来源的贡献;

相比于检测到的所述第一表示的不对称性,检测到的所述第二表示的不对称性包括相对于来自所述所关注的参数的贡献更大的来自不对称性的所述一个或更多个其它来源的贡献;以及所述方法还包括使用检测到的所述第一表示与第二表示的组合以确定所述所关注的参数的值。

2.根据权利要求1所述的方法,其中检测到的所述第二表示的不对称性实质上不包括来自所述所关注的参数的贡献。

3.根据前述权利要求中任一项所述的方法,其中所述第一偏振分量与所述第二偏振分量彼此正交。

4.根据前述权利要求中任一项所述的方法,其中所述第一偏振分量与所述入射辐射的偏振正交。

5.根据前述权利要求中任一项所述的方法,其中所述所关注的参数的值的所述确定包括使用检测到的所述第二表示以至少部分地识别和/或减小或移除来自所述一个或更多个其它来源的对于检测到的所述第一表示的不对称性的贡献。

6.根据前述权利要求中任一项所述的方法,其中所述所关注的参数的值的所述确定包括:确定所述第二表示的不对称性;

基于所述第二表示的被确定的不对称性而修改检测到的所述第一表示的至少一部分;

以及

确定修改后的检测到的第一表示的不对称性。

7.根据权利要求1-5中任一项所述的方法,其中所述所关注的参数的值的所述确定包括由检测到的所述第二表示划分检测到的所述第一表示。

8.根据前述权利要求中任一项所述的方法,其中来自所述一个或更多个其它来源的对于不对称性的总体贡献对于检测到的所述第一表示和检测到的所述第二表示两者是实质上相等的。

9.根据前述权利要求中任一项所述的方法,其中辐射的检测到的所述第一表示与第二表示主要具有零阶辐射。

10.根据前述权利要求中任一项所述的方法,其中辐射的检测到的所述第一表示与第二表示是辐射的检测到的光瞳表示。

11.根据前述权利要求中任一项所述的方法,其中所述结构包括:器件结构、或衬底管芯内的包括器件结构的非器件结构。

12.根据前述权利要求中任一项所述的方法,还包括:

利用所述偏振后的入射辐射照射所述结构;

使用第一传感器获得检测到的所述第一表示;以及

使用所述第一传感器或第二传感器获得与检测到的所述第一表示无关的检测到的所述第二表示。

13.根据权利要求12所述的方法,还包括使用依赖于偏振的束划分装置以将所述重引导后的辐射分成主要由所述第一偏振分量形成的第一辐射束和主要由所述第二偏振分量形成的第二辐射束。

14.根据前述权利要求中任一项所述的方法,其中所述所关注的参数包括所述结构中的不同层之间的重叠误差。

15.一种清除包含关于图案化过程的所关注的参数的信息的信号的方法,所述方法包括:接收包括辐射的检测到的第一表示与第二表示的输入信号,所述辐射由通过使用所述图案化过程形成的结构对偏振后的入射辐射的重引导提供,分别从重引导后的辐射的第一偏振分量与第二偏振分量导出检测到的所述第一表示与第二表示,其中:检测到的所述第一表示的不对称性包括来自所述所关注的参数的贡献和来自不对称性的一个或更多个其它来源的贡献;

相比于检测到的所述第一表示的不对称性,检测到的所述第二表示的不对称性包括相对于来自所述所关注的参数的贡献更大的来自不对称性的所述一个或更多个其它来源的贡献;以及所述方法还包括使用检测到的所述第一表示与第二表示的组合而产生输出信号,其中所述输出信号的产生包括使用检测到的所述第二表示以减小来自不对称性的所述一个或更多个其它来源的对于所述输出信号的贡献。

说明书 :

确定所关注的参数的值的方法、清除包含关于所关注的参数

的信息的信号的方法

[0001] 相关申请的交叉引用
[0002] 本申请要求于2017年10月26日递交的欧洲申请17198461.0和于2017年11月23日递交的欧洲申请17203234.4的优先权,这两个申请的全部内容通过引用并入本文中。

技术领域

[0003] 本说明书涉及确定图案化过程(特别是使用光刻来形成制造过程的部分的图案化过程)的所关注的参数(诸如重叠)的值。本说明书也涉及清除包含关于图案化过程的所关注的参数的信息的信号。

背景技术

[0004] 光刻设备为将期望的图案涂覆至衬底上(通常涂覆至衬底的目标部分上)的机器。光刻设备可以用于例如集成电路(IC)或设计用于功能的其它器件的制造中。在所述情况下,图案形成装置(其替代地被称作掩模或掩模版)可以用以产生要形成在设计用于功能的器件的单层上的电路图案。这种图案可以转印至衬底(例如,硅晶片)上的目标部分(例如,包括管芯的部分、一个管芯或几个管芯)上。典型地经由成像至提供在衬底上的辐射敏感材料(抗蚀剂)层上来进行图案的转印。通常,单个衬底将包含经连续地图案化的相邻目标部分的网络。已知的光刻设备包括:所谓的步进器,其中通过将整个图案一次性曝光至目标部分上来辐照每个目标部分;和所谓的扫描仪,其中通过在给定方向(“扫描”方向)上经由辐射束而扫描图案同时平行或反向平行于这种方向而同步地扫描衬底来辐照每个目标部分。
也可以通过将图案压印至衬底上而将图案从图案形成装置转印至衬底。
[0005] 制造诸如半导体器件之类的器件典型地涉及使用多个制造过程来处理衬底(例如半导体晶片)以形成所述器件的各种特征且常常形成多个层。典型地使用例如沉积、光刻、蚀刻、化学机械抛光和离子植入来制造和处理这些层和特征。可以在衬底上的多个管芯上制作多个器件,并且接着将所述器件分离成单独的器件。这种器件制造过程可以被认作是图案化过程。图案化过程涉及图案转印步骤,诸如使用光刻设备的光学光刻术和/或nm压印光刻术,以在衬底上提供图案且典型地但可选地涉及一个或更多个相关图案处理步骤,诸如通过显影设备的抗蚀剂显影、使用焙烤工具焙烤衬底、通过蚀刻设备的蚀刻图案等等。另外,在图案化过程中涉及一个或更多个量测过程。
[0006] 在图案化过程期间在各个步骤下使用量测过程以监控和/或控制所述过程。例如,量测过程用于测量衬底的一个或更多个特性,诸如在所述图案化过程期间形成在衬底上的特征的相对部位(例如,对齐、重叠、对准等等)或尺寸(例如,线宽、临界尺寸(CD)、厚度等等),使得例如可以从所述一个或更多个特性确定所述图案化过程的性能。如果所述一个或更多个特性为不可接受的(例如,在特性的预定范围之外),则可以例如基于所述一个或更多个特性的测量值设计或更改所述图案化过程的一个或更多个变量,使得由所述图案化过程制造的衬底具有可接受的特性。
[0007] 几十年来,随着光刻术和其它图案化过程技术的改进,功能元件的尺寸已不断地较小,同时每器件的功能元件(诸如晶体管)的数量已稳定地增大。同时,关于重叠、临界尺寸(CD)等等的精度的要求变得越来越严格。在所述图案化过程中将不可避免地产生诸如重叠误差、CD误差等等的误差。例如,成像误差可以由光学像差、图案形成装置加热、图案形成装置误差和/或衬底加热产生且可以关于例如重叠、CD等等进行表征。另外或替代地,所述图案化过程的其它部分,诸如蚀刻、显影、焙烤等等中可以引入误差,并且类似地可以关于例如重叠、CD等等进行表征。所述误差可能造成在器件的功能方面的问题,包括器件功能的故障,或功能器件的一个或更多个电气问题。因此,需要能够表征一个或更多个这些误差且采取步骤来设计、修改、控制等等图案化过程以减小或最小化这些误差中的一个或更多个。

发明内容

[0008] 根据一方面,提供一种确定图案化过程的所关注的参数的值的方法,所述方法包括:
[0009] 获得辐射的检测到的第一表示与第二表示,所述辐射由通过使用所述图案化过程形成的结构对偏振后的入射辐射的重引导提供,分别从重引导后的辐射的第一偏振分量与第二偏振分量导出检测到的所述第一表示与第二表示,其中:检测到的所述第一表示的不对称性包括来自所述所关注的参数的贡献和来自不对称性的一个或更多个其它来源的贡献;相比于检测到的所述第一表示的不对称性,检测到的所述第二表示的不对称性包括相对于来自所述所关注的参数的贡献更大的来自不对称性的所述一个或更多个其它来源的贡献;以及所述方法还包括使用检测到的所述第一表示与第二表示的组合以确定所述所关注的参数的值。
[0010] 根据一方面,提供一种清除包含关于图案化过程的所关注的参数的信息的信号的方法,所述方法包括:接收包括辐射的检测到的第一表示与第二表示的输入信号,所述辐射由通过使用所述图案化过程形成的结构对偏振后的入射辐射的重引导提供,分别从重引导后的辐射的第一偏振分量与第二偏振分量导出检测到的所述第一表示与第二表示,其中:检测到的所述第一表示的不对称性包括来自所述所关注的参数的贡献和来自不对称性的一个或更多个其它来源的贡献;相比于检测到的所述第一表示的不对称性,检测到的所述第二表示的不对称性包括相对于来自所述所关注的参数的贡献更大的来自不对称性的所述一个或更多个其它来源的贡献;以及所述方法还包括使用检测到的所述第一表示与第二表示的组合而产生输出信号,其中所述输出信号的产生包括使用检测到的所述第二表示以减小来自不对称性的所述一个或更多个其它来源的对于所述输出信号的贡献。

附图说明

[0011] 现将参考附图而仅借助于示例来描述实施例,在所述附图中:
[0012] 图1示意性地描绘光刻设备的实施例;
[0013] 图2示意性地描绘光刻单元或光刻簇的实施例;
[0014] 图3A为用于使用提供某些照射模式的第一对照射孔来测量根据实施例的目标测量设备的示意图;
[0015] 图3B为用于给定照射方向的目标的衍射光谱的示意性细节;
[0016] 图3C为在使用测量设备以用于基于衍射的重叠测量时提供另外的照射模式的第二对照射孔的示意性说明;
[0017] 图3D为在使用测量设备以用于基于衍射的重叠测量时提供另外的照射模式的组合第一对孔与第二对孔的第三对照射孔的示意性说明;
[0018] 图4示意性地描绘衬底上的多重周期性结构(例如,多重光栅)目标的形式和测量斑的轮廓;
[0019] 图5示意性地描绘图3的设备中获得的图4的目标的图像;
[0020] 图6示意性地描绘示例量测设备和量测技术;
[0021] 图7示意性地描绘示例量测设备;
[0022] 图8说明量测设备的照射斑与量测目标之间的关系;
[0023] 图9示意性地描绘基于测量数据导出一个或更多个所关注的变量的过程;
[0024] 图10A示意性地描绘示例单位单元、相关联的光瞳表示和相关联的导出的光瞳表示;
[0025] 图10B示意性地描绘示例单位单元、相关联的光瞳表示和相关联的导出的光瞳表示;
[0026] 图10C示意性地描绘包括单位单元的一个或更多个实体实例的示例目标;
[0027] 图11描绘获得加权以从测量的辐射确定图案化过程参数的高阶流程;
[0028] 图12描绘从测量的辐射确定图案化过程参数的高阶流程;
[0029] 图13描绘数据驱动技术的实施例的高阶流程;
[0030] 图14描绘结合实体几何模型的数据驱动技术的实施例的高阶流程;
[0031] 图15描绘结合实体几何模型的数据驱动技术的实施例的高阶流程;
[0032] 图16描绘结合实体几何模型的数据驱动技术的实施例的高阶流程;
[0033] 图17描绘结合实体几何模型的数据驱动技术的实施例的高阶流程;
[0034] 图18示意性地描绘目标的多重重叠单位单元的实施例;
[0035] 图19示意性地描绘目标的多重重叠单位单元的实施例;
[0036] 图20描绘与两个不同的重叠相对应的两个向量的示例曲线图;
[0037] 图21示意性地描绘根据实施例的示例量测设备;
[0038] 图22示意性地描绘在零工具诱发移位(不对称性)的情况下辐射的检测到的光瞳表示在共偏振通道中的对称相对点;
[0039] 图23示意性地描绘在零工具诱发移位(不对称性)情况下辐射的检测到的光瞳表示在交叉偏振通道中的对称相对点;
[0040] 图24示意性地描绘在非零工具诱发移位(不对称性)的情况下辐射的检测到的光瞳表示在共偏振通道中的对称相对点;
[0041] 图25示意性地描绘在非零工具诱发移位(不对称性)情况下辐射的检测到的光瞳表示在交叉偏振通道中的对称相对点;
[0042] 图26示意性地描绘用于使用图24和25的共偏振通道和交叉偏振通道的检测到的光瞳表示的组合以确定所关注的参数的值的一个示例方法;
[0043] 图27示意性地描绘用于使用图24和25的共偏振通道和交叉偏振通道的检测到的光瞳表示的组合以确定所关注的参数的值的另一示例方法;
[0044] 图28示意性地描绘共偏振通道中的场图像;
[0045] 图29示意性地描绘交叉偏振通道中的场图像;并且
[0046] 图30示意性地描绘可以实施本公开的实施例的计算机系统。

具体实施方式

[0047] 在详细地描述实施例之前,呈现可以实施实施例的示例环境是有指导性的。
[0048] 图1示意性地描绘光刻设备LA。所述设备包括:
[0049] -照射系统(照射器)IL,所述照射系统被配置成调节辐射束B(例如,UV辐射或DUV辐射);
[0050] -支撑结构(例如,掩模台)MT,所述支撑结构被构造成支撑图案形成装置(例如,掩模)MA,并且连接至被配置成根据某些参数来准确地定位图案形成装置的第一定位装置PM;
[0051] -衬底台(例如,晶片台)WT,所述衬底台被构造成保持衬底(例如,涂覆有抗蚀剂的晶片)W,并且连接至被配置成根据某些参数来准确地定位所述衬底的第二定位装置PW;以及
[0052] -投影系统(例如,折射式投影透镜系统)PS,所述投影系统被配置成将通过图案形成装置MA赋予至辐射束B的图案投影至衬底W的目标部分C(例如包括一个或更多个管芯)上,所述投影系统被支撑在参考框架(RF)上。
[0053] 照射系统可以包括用于引导、成形或控制辐射的各种类型的光学元件,诸如折射式、反式射、磁性式、电磁式、静电式或其它类型的光学元件,或其任何组合。
[0054] 支撑结构以依赖于图案形成装置的方向、光刻设备的设计和其它条件(诸如,图案形成装置是否被保持在真空环境中)的方式来支撑所述图案形成装置。支撑结构可以使用机械、真空、静电或其它夹持技术来保持图案形成装置。支撑结构可以是例如框架或台,其可以根据需要而是固定或可移动的。支撑结构可以确保图案形成装置例如相对于投影系统处于期望的位置。可以认为本发明中对术语“掩模版”或“掩模”的任何使用与更上位的术语“图案形成装置”同义。
[0055] 本发明中使用的术语“图案形成装置”应被广泛地解释为是指可以用以在衬底的目标部分中赋予图案的任何器件。在实施例中,图案形成装置为可以用以在辐射束的横截面中向辐射束赋予图案以便在衬底的目标部分中产生图案的任何器件。应注意,例如,如果被赋予至辐射束的图案包括相移特征或所谓的辅助特征,则所述图案可以不确切地对应于衬底的目标部分中的期望的图案。通常,被赋予至辐射束的图案将对应于目标部分中产生的器件(诸如集成电路)中的特定功能层。
[0056] 图案形成装置可以是透射式的或反射式的。图案形成装置的示例包括掩模、可编程反射镜阵列,和可编程LCD面板。掩模在光刻中为众所周知的,并且包括诸如二元、交变相移和衰减式相移的掩模类型,以及各种混合掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,所述小反射镜中的每个可以被单独地倾斜,以便使入射辐射束在不同方向上反射。被倾斜的反射镜在由反射镜矩阵反射的辐射束中赋予图案。
[0057] 本发明使用的术语“投影系统”应被广泛地解释为涵盖适于使用的曝光辐射或适于诸如浸没液体的使用或真空的使用之类的其它因素的任何类型的投影系统,包括折射式、反射式、反射折射式、磁性式、电磁式和静电式光学系统,或其任何组合。可以认为本发明中对术语“投影透镜”的任何使用均与更上位的术语“投影系统”同义。
[0058] 投影系统PS具有可以是非均一的且可以影响成像在衬底W上的图案的光学传递函数。对于非偏振辐射,这些效应可以由两个纯量映像相当良好地描述,所述两个纯量映像描述作为射出投影系统PS的辐射的光瞳平面中的位置的函数的所述辐射的透射(变迹)和相对相位(像差)。可以将可以被称作透射映像和相对相位映像的这些纯量映像表达为基底函数的全集的线性组合。特别适合的集合为泽尼克(Zernike)多项式,其形成单位圆上定义的正交多项式集合。每个纯量映像的确定可能涉及确定这种展开式中的系数。因为泽尼克多项式在单位圆上正交,所以可以通过依次演算测量的纯量映像与每个泽尼克多项式的内积且将这种内积除以所述泽尼克多项式的范数的平方来确定泽尼克系数。
[0059] 透射映像和相对相位映像是依赖于场和系统的。即,通常,每个投影系统PS将针对每个场点(即,针对投影系统PS的图像平面中的每个空间部位)具有不同泽尼克展开式。投影系统PS在其光瞳平面中的相对相位可以通过将例如来自投影系统PS的对象平面(即,图案形成装置MA的平面)中的类点源的辐射投影穿过投影系统PS且使用剪切干涉计以测量波前(即,具有相同相位的点的轨迹)而确定。剪切干涉计为共同路径干涉计,因此,有利地,无需次级参考束来测量波前。剪切干涉计可以包括投影系统(即,衬底台WT)的图像平面中的衍射光栅,例如二维栅格,并且检测器被布置成检测与投影系统PS的光瞳平面共轭的平面中的干涉图案。干涉图案与辐射的相位相对于在剪切方向上的光瞳平面中的坐标的导数相关。检测器可以包括感测元件阵列,诸如,电荷耦合器件(CCD)。
[0060] 光刻设备的投影系统PS可以不产生可见条纹,因此,可以使用相位步进技术(诸如移动衍射光栅)来增强波前确定的精度。可以在衍射光栅的平面中和在垂直于测量的扫描方向的方向上执行步进。步进范围可以是一个光栅周期,并且可以使用至少三个(均一地分布的)相位步进。因此,例如,可以在y方向上执行三个扫描测量,在x方向上针对不同位置执行每个扫描测量。衍射光栅的这种步进将相位变化有效地变换成强度变化,从而允许确定相位信息。光栅可以在垂直于衍射光栅的方向(z方向)上步进以校准检测器。
[0061] 可以通过将例如来自投影系统PS的对象平面(即,图案形成装置MA的平面)中的类点源的辐射投影通过投影系统PS且使用检测器来测量与投影系统PS的光瞳平面共轭的平面中的辐射强度来确定投影系统PS在其光瞳平面中的透射(变迹)。可以使用与用以测量波前以确定像差的检测器相同的检测器。
[0062] 投影系统PS可以包括多个光学(例如,透镜)元件且可还包括调整机构AM,所述调整机构被配置成调整所述光学元件中的一个或更多个以便校正像差(在整个场中横跨光瞳平面的相位变化)。为实现这种情况,所述调整机构可以用于以一个或更多个不同的方式来操控投影系统PS内的一个或更多个光学(例如,透镜)元件。投影系统可以具有一坐标系统,其中所述坐标系统的光轴在z方向上延伸。调整机构可以用以进行以下各项的任何组合:使一个或更多个光学元件位移;使一个或更多个光学元件倾斜;和/或使一个或更多个光学元件变形。光学元件的移位可以在任何方向(x、y、z或其组合)上进行。光学元件的倾斜典型地为偏离垂直于光轴的平面,通过围绕在x和/或y方向上的轴线旋转而进行,但对于非旋转对称的非球面光学元件可以使用围绕z轴的旋转。光学元件的变形可以包括低频形状(例如,像散)和/或高频形状(例如,自由形式非球面)。可以例如通过使用一个或更多个致动器以对光学元件的一个或更多个侧施加力和/或通过使用一个或更多个加热元件以加热光学元件的一个或更多个选定区域来执行光学元件的变形。通常,不可能调整投影系统PS以校正变迹(横跨光瞳平面的透射变化)。当设计用于光刻设备LA的图案形成装置(例如,掩模)MA时,可以使用投影系统PS的透射映像。使用计算光刻技术,图案形成装置MA可以被设计用于用以至少部分地校正变迹。
[0063] 如这里描绘的,设备属于透射类型(例如,采用透射式掩模)。或者,设备可以属于反射类型(例如,采用如上文提及的类型的可编程反射镜阵列,或采用反射式掩模)。
[0064] 光刻设备可以属于具有两个(双平台)或多于两个台(例如,两个或更多个衬底台WTa、WTb,两个或更多个图案形成装置台,在没有专用于例如促进测量和/或清除等等的衬底的情况下在投影系统下方的衬底台WTa和台WTb)的类型。在这些“多平台”机器中,可以并行地使用额外的台,或可以对一个或更多个台进行预备步骤,同时将一个或更多个其它台用于曝光。例如,可以进行使用对准传感器AS的对准测量和/或使用水平传感器LS的水平(高度、倾角等)测量。
[0065] 光刻设备也可以属于以下类型:其中衬底的至少一部分可以由具有相对高折射率的液体,例如水覆盖,以便填充投影系统与衬底之间的空间。也可以将浸没液体施加至光刻设备中的其它空间,例如图案形成装置与投影系统之间的空间。浸没技术在本领域中被众所周知地用于增大投影系统的数值孔径。本发明中使用的术语“浸没”并不意味着诸如衬底之类的结构必须浸没在液体中,而是仅意味着液体在曝光期间位于投影系统与衬底之间。
[0066] 参考图1,照射器IL从辐射源SO接收辐射束。例如,当辐射源为准分子激光器时,辐射源与光刻设备可以是分立的实体。在这些情况下,不认为源形成光刻设备的部分,并且辐射束是凭借包括例如合适的定向反射镜和/或扩束器的束传递系统BD而从辐射源SO传递至照射器IL。在其它情况下,例如,当源为汞灯时,源可以是光刻设备的组成部分。源SO和照射器IL连同束传递系统BD(在需要时)可以被称作辐射系统。
[0067] 照射器IL可以包括被配置成调整辐射束的角强度分布的调整器AD。通常,可以调整照射器的光瞳平面中的强度分布的至少外部和/或内部径向范围(通常分别被称作σ-外部和σ-内部)。另外,照射器IL可以包括各种其它部件,诸如积分器IN和聚光器CO。照射器可以用于调节辐射束,以在其横截面中具有期望的均一性和强度分布。
[0068] 辐射束B入射在图案形成装置(例如,掩模)MA上,所述图案形成装置被保持在支撑结构(例如,掩模台)MT上,并且由所述图案形成装置图案化。在已横穿图案形成装置MA的情况下,辐射束B传递通过投影系统PS,所述投影系统将束聚焦至衬底W的目标部分C上。借助于第二定位装置PW和位置传感器IF(例如,干涉测量器件、线性编码器、2D编码器或电容式传感器),可以准确地移动衬底台WT,例如以便将不同的目标部分C定位在辐射束B的路径中。类似地,第一定位装置PM和另一位置传感器(其在图1中并没有明确地描绘)可以用于例如在从掩模库的机械获取之后或在扫描期间相对于辐射束B的路径准确地定位图案形成装置MA。通常,可以借助于形成第一定位装置PM的部分的长冲程模块(粗定位)和短冲程模块(精定位)来实现支撑结构MT的移动。类似地,可以使用形成第二定位装置PW的部分的长冲程模块和短冲程模块来实现衬底台WT的移动。在步进器(相对于扫描仪)的情况下,支撑结构MT可以仅连接至短冲程致动器,或可以是固定的。可以使用图案形成装置对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置MA与衬底W。尽管如图示的衬底对准标记占据专用的目标部分,但所述标记可以位于目标部分之间的空间中(这些标记被称为划线对准标记)。类似地,在多于一个管芯被提供在图案形成装置MA上的情形中,图案形成装置对准标记可以位于所述管芯之间。
[0069] 描绘的设备可以用于以下模式中的至少一种中:
[0070] 1.在步进模式下,支撑结构MT和衬底台WT基本上保持静止,同时将赋予至辐射束的整个图案一次性投影至目标部分C上(即,单次静态曝光)。接着,使衬底台WT在X和/或Y方向上移位,使得可以曝光不同的目标部分C。在步进模式下,曝光场的最大大小限制单次静态曝光中成像的目标部分C的大小。
[0071] 2.在扫描模式下,同步地扫描支撑结构MT和衬底台WT,同时将赋予至辐射束的图案投影至目标部分C上(即,单次动态曝光)。可以通过投影系统PS的放大率(缩小率)和图像反转特性来确定衬底台WT相对于支撑结构MT的速度和方向。在扫描模式下,曝光场的最大大小限制单次动态曝光中的目标部分的宽度(在非扫描方向上),而扫描运动的长度确定目标部分的高度(在扫描方向上)。
[0072] 3.在另一模式下,在将被赋予至辐射束的图案投影至目标部分C上时,使支撑结构MT保持基本上静止,从而保持可编程图案形成装置,并且移动或扫描衬底台WT。这里模式下,通常采用脉冲式辐射源,并且在衬底台WT的每个移动之后或在扫描期间的连续辐射脉冲之间根据需要而更新可编程图案形成装置。这种操作模式可易于应用至利用可编程图案形成装置(诸如上文提及的类型的可编程反射镜阵列)的无掩模光刻术。
[0073] 也可以采用对上文描述的使用模式的组合和/或变型、或完全不同的使用模式。
[0074] 如图2所示,光刻设备LA可以形成光刻单元LC(有时也被称作光刻元或簇)的部件,光刻单元LC也包括用以对衬底执行曝光前过程和曝光后过程的设备。常规地,这些设备包括用以沉积一个或更多个抗蚀剂层的一个或更多个旋涂器SC、用以显影曝光后的抗蚀剂的一个或更多个显影器DE、一个或更多个激冷板CH和/或一个或更多个焙烤板BK。衬底输送装置或机器人RO从输入/输出端口I/O1、I/O2拾取一个或更多个衬底,将它们在不同过程设备之间移动且将它们传递至光刻设备的进料台LB。常常被统称为涂覆显影系统或轨道(track)的这些设备由涂覆显影系统控制单元TCU控制,涂覆显影系统控制单元TCU自身受管理控制系统SCS控制,管理控制系统SCS也经由光刻控制单元LACU而控制所述光刻设备。因而,不同的设备可以被操作以最大化生产量/吞吐量和处理效率。
[0075] 为了正确地且一致地曝光由光刻设备曝光的衬底,需要检查曝光后的衬底以测量或确定一个或更多个属性,诸如重叠(其可以例如在覆盖层中的结构之间,或在同一层中已通过例如双重图案化过程分离地提供至所述层的结构之间)、线厚度、临界尺寸(CD)、聚焦偏移、材料属性,等等。因此,其中设置有光刻元LC的制造设施通常也包括量测系统MET,量测系统MET接纳已在光刻元中被处理的衬底W中的一些或全部。量测系统MET可以是光刻元LC的部分,例如,所述量测系统MET可以是光刻设备LA的部分。
[0076] 可以将量测结果直接或间接地提供至管理控制系统SCS。如果检测到误差,则可以对后续衬底的曝光(尤其在如果可以足够迅速且快速完成检测使得所述批量的一个或更多个其它衬底仍待曝光的情况下)和/或对曝光后的衬底的后续曝光进行调整。并且,已曝光的衬底可以被剥离和返工以改善产率,或被丢弃,由此避免对已知有缺陷的衬底执行进一步处理。在衬底的仅一些目标部分有缺陷的情况下,可以仅对良好的那些目标部分执行进一步的曝光。
[0077] 在量测系统MET内,量测设备用以确定衬底的一个或更多个属性,并且尤其确定不同衬底的一个或更多个属性如何变化或同一衬底的不同层如何在不同层间变化。量测设备可以被集成至光刻设备LA或光刻元LC中,或可以是独立的器件。为了能实现快速测量,需要使所述量测设备紧接在所述曝光之后测量曝光后的抗蚀剂层的一个或更多个属性。然而,抗蚀剂中的潜像具有低对比度(在抗蚀剂的已曝光至辐射的部分与抗蚀剂的尚未曝光至辐射的部分之间仅存在非常小的折射率差)且并非所有量测设备都具有足够的灵敏度以进行潜像的有用的测量。因此,可以在曝光后焙烤步骤(PEB)之后进行测量,曝光后焙烤步骤通常为对曝光后的衬底执行的第一步骤且增加所述抗蚀剂的曝光后的部分与未曝光的部分之间的对比度。在这个阶段,抗蚀剂中的图像可以被称作半潜像(semi-latent)。也可以进行对显影后的抗蚀剂图像的测量(这时,抗蚀剂的曝光后的部分或未曝光的部分已被移除)或在诸如蚀刻之类的图案转印步骤之后进行对显影后的抗蚀剂图像的测量。后者可能性限制了对有缺陷衬底进行返工的可能性,但仍可以提供有用的信息。
[0078] 为了实现量测,可以在衬底上设置一个或更多个目标。在实施例中,目标被专门设计且可以包括周期性结构。在实施例中,目标是器件图案的一部分,例如为器件图案的周期性结构。在实施例中,器件图案是存储器器件的周期性结构(例如,双极型晶体管(BPT)、位线接触部(BLC)等结构)。
[0079] 在实施例中,衬底上的目标可以包括一个或更多个1-D周期性结构(例如,光栅),所述1-D周期性结构被打印使得在显影之后,周期性结构特征由实体抗蚀剂线形成。在实施例中,目标可以包括一个或更多个2-D周期性结构(例如,光栅),所述2-D周期性结构被打印使得在显影之后,所述一个或更多个周期性结构由抗蚀剂中的实体抗蚀剂柱或通孔形成。栅条、柱或通孔可替代地被蚀刻至衬底中(例如被蚀刻至衬底上的一个或更多个层中)。
[0080] 在实施例中,图案化过程的所关注的参数之一是重叠。可以使用暗场散射测量来测量重叠,其中阻挡了零阶衍射(对应于镜面反射),并且仅处理较高阶。可以在PCT专利申请公开号WO 2009/078708和WO 2009/106279中找到暗场量测的示例,所述专利申请的全文由此以引用的方式并入。美国专利申请公开US2011-0027704、US2011-0043791和US2012-0242970中已描述所述技术的进一步开发,所述专利申请公开的全文由此以引用的方式并入。使用衍射阶的暗场检测的基于衍射的重叠能实现对较小目标的重叠测量。这些目标可以小于照射斑且可以由衬底上的器件产品结构围绕。在实施例中,可以在一个辐射捕获中测量多个目标。
[0081] 图3A中示意性地示出适合用于实施例中以对例如重叠进行测量的量测设备。图3B中更详细地图示了目标T(包括诸如光栅之类的周期性结构)和衍射射线。量测设备可以是独立的装置,或例如在测量站处合并入光刻设备LA中,或合并入光刻单元LC中。遍及所述设备具有若干分支的光轴由虚线O表示。在这种设备中,输出11(例如,源,诸如激光器或氙气灯,或连接至源的开口)所发射的辐射由包括透镜12、14和物镜16的光学系统经由棱镜15引导至衬底W上。这些透镜被布置成4F布置的双重序列。可以使用不同的透镜布置,假设所述透镜配置仍将衬底图像提供至检测器上。
[0082] 在实施例中,透镜布置允许接近中间光瞳平面以用于空间-频率滤光。因此,可以通过定义在呈现所述衬底平面的空间光谱的平面(这里被称作(共轭的)光瞳平面)中的空间强度分布,来选择所述辐射入射在衬底上的角度范围。具体地,这种选择可以例如通过在作为物镜光瞳平面的背投图像的平面中在透镜12与透镜14之间插入合适形式的孔板13来进行。在图示的示例中,孔板13具有不同的形式(被标注为13N和13S),从而允许选择不同照射模式。当前示例中的照射系统形成了离轴照射模式。在第一照射模式中,孔板13N从仅出于描述起见而指定为“北”的方向提供离轴照射。在第二照射模式中,孔板13S用于提供类似的照射,但类似的照射来自被标注为“南”的相反方向。通过使用不同的孔,其它照射模式是可能的。光瞳平面的其余部分理想地暗的,这是因为期望的照射模式外部的任何不必要辐射可能干涉所期望的测量信号。
[0083] 如图3B所示,目标T被放置为使得衬底W实质上垂直于物镜16的光轴O。与轴线O成角度而照射在目标T上的照射射线I产生一种零阶射线(实线0)和两种一阶射线(点划线+1和双点划线-1)。在利用过填充的小目标T的情况下,这些射线仅仅是覆盖所述衬底的包括量测目标T和其它特征在内的区域的许多平行射线中的一个。因为板13中的孔具有有限的宽度(这种有限的宽度是接纳有用量的辐射所必要的),所以入射射线I实际上将占据一角度范围,并且衍射射线0和+1/-1将稍微散开。根据小目标的点扩散函数,每个阶+1和-1将在一定角度范围上进一步散布,而非如示出的单条理想射线。应注意,周期性结构节距和照射角度可以被设计或被调整成使得进入物镜的一阶射线与中心光轴紧密地对准。图3A和图3B中图示的射线被示出为略微离轴,仅用以使它们能够在图中较容易地被区分。由衬底W上的目标衍射的至少0阶和+1阶由物镜16收集,并且被往回引导通过棱镜15。
[0084] 返回至图3A,通过指明被标注为北(N)和南(S)的完全相反的孔,来图示第一照射模式和第二照射模式两者。当入射射线I是来自光轴的北侧时,即,当使用孔板13N来应用第一照射模式时,被标注为+1(N)的+1衍射射线进入物镜16。对照而言,当使用孔板13S来应用第二照射模式时,-1衍射射线(标注为-1(S))是进入透镜16的衍射射线。因而,在实施例中,通过例如在旋转所述目标或改变所述照射模式或改变成像模式以分离地获得-1衍射阶强度和+1衍射阶强度之后在某些条件下测量所述目标两次,获得了测量结果。针对给定目标比较这些强度会提供所述目标中的不对称性的测量结果,并且所述目标中的不对称性可以用作光刻过程的参数(例如重叠)的指示器/指标。在上文描述的情形下,改变了照射模式。
[0085] 分束器17将衍射束划分成两个测量分支。在第一测量分支中,光学系统18使用零阶衍射束和一阶衍射束在第一传感器19(例如CCD或CMOS传感器)上形成所述目标的衍射光谱(光瞳平面图像)。每个衍射阶射中传感器上的不同点,使得图像处理可以比较和对比几个阶。由传感器19捕获的光瞳平面图像可以用于聚焦所述量测设备和/或归一化强度测量结果。光瞳平面图像也可以用于诸如重构之类的其它测量目的,如下文进一步描述的。
[0086] 在第二测量分支中,光学系统20、22在传感器23(例如,CCD或CMOS传感器)上形成衬底W上的目标的图像。在第二测量分支中,将孔径光阑21设置于与物镜16的光瞳平面共轭的平面中。孔径光阑21用以阻挡零阶衍射束,使得形成在传感器23上的目标的图像仅由-1或+1阶束形成。关于由传感器19和23所测量图像的数据被输出至处理器和控制器PU,处理器和控制器PU的功能将取决于正在被执行的测量的特定类型。应注意,在广义上使用术语“图像”。如此,如果仅存在-1和+1阶中的一个,则将不形成周期性结构特征(例如,光栅线)的图像。
[0087] 图3中示出的孔板13和光阑21的特定形式仅是示例。在另一个实施例中,使用所述目标的同轴照射,并且使用具有离轴孔的孔径光阑以将实质上仅一个一阶衍射辐射传递至传感器。在又一其它实施例中,替代一阶束或除一阶束以外,2阶束、3阶束和较高阶束(图3中没有示出)也可以用于测量中。
[0088] 为了使照射可以适应于这些不同类型的测量,孔板13可以包括围绕圆盘而形成的一定数目的孔图案,所述圆盘旋转以使期望的图案处于适当位置。应注意,使用孔板13N或13S以测量在一个方向(取决于设定而为X或Y方向)上定向的目标的周期性结构。为了测量正交周期性结构,可能实施目标的达90°和270°的旋转。在图3C和图3D中示出不同的孔板。
图3C图示了离轴照射模式的另外的两个类型。在图3C的第一照射模式中,孔板13E提供来自仅出于描述起见而相对于先前描述的“北”指定为“东”的方向的离轴照射。在图3C的第二照射模式中,孔板13W用以提供类似的照射,但来自于被标注为“西”的相反方向。图3D图示了离轴照射模式的两种另外的类型。在图3D的第一照射模式中,孔板13NW提供来自被指定为如先前描述的“北”和“西”的方向的离轴照射。在第二照射模式中,孔板13SE用以提供类似的照射,但来自被标注为如先前描述的“南”和“东”的相反方向。例如,在上文提及的先前公开的专利申请出版物中描述了设备的这些和众多其它变型和应用的使用。
[0089] 图4描绘了形成在衬底上的示例复合量测目标T。所述复合目标包括紧密定位在一起的四个周期性结构(这种情况下,即光栅)32、33、34、35。在实施例中,可以使周期性结构布局小于测量斑(即,周期性结构布局被过填充)。因而,在实施例中,周期性结构足够紧密地定位在一起,使得所述周期性结构都在由量测设备的照射束所形成的测量斑31内。在该情况下,因而,所述四个周期性结构都被同时地照射且同时地成像在传感器19和23上。在专用于重叠测量的示例中,周期性结构32、33、34、35自身是由重叠的周期性结构所形成的复合周期性结构(例如,复合光栅),即,周期性结构在形成于衬底W上的器件的不同层中被图案化且使得一个层中的至少一个周期性结构与不同层中的至少一个周期性结构重叠。这种目标可以具有20μm×20μm内或16μm×16μm内的外部尺寸。另外,所有周期性结构用以测量在特定一对的层之间的重叠。为了促成目标能够测量多于单对的层,周期性结构32、33、34、35可以具有以不同方式偏置的重叠偏移,以便促成对形成有复合周期性结构的不同部分的不同层之间的重叠进行测量。因而,用于衬底上的目标的所有周期性结构将会用以测量一对的层,并且用于衬底上的另一相同目标的所有周期性结构将会用以测量另一对的层,其中不同偏置便于在多对的层之间进行区分。
[0090] 返回至图4,周期性结构32、33、34、35也可以在它们方向方面不同(如示出的),以便使入射辐射在X方向和Y方向上衍射。在一个示例中,周期性结构32和34是分别具有+d、-d的偏置的X方向周期性结构。周期性结构33和35可以是分别具有偏移+d和-d的Y方向周期性结构。虽然图示了四个周期性结构,但另一个实施例可以包括更大矩阵以获得期望的精度。例如,九个复合周期性结构的3×3阵列可以具有偏置-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。
可以在由传感器23捕获的图像中识别这些周期性结构的分离的图像。
[0091] 图5示出在使用来自图3D的孔板13NW或13SE的情况下在图3的设备中使用图4的目标而可以形成在传感器23上且由传感器23检测的图像的示例。虽然传感器19不能分辨不同的单独的周期性结构32至35,但传感器23可以做到。暗矩形表示传感器上的图像的场,在这个场内,衬底上的照射斑31被成像至对应的圆形区域41中。在圆形区域41内,矩形区域42至45表示周期性结构32至35的图像。不是定位在划线中或除了定位在划线中以外,目标也可以定位在器件产品特征中。如果周期性结构位于器件产品区域中,则此图像场的周边中也能够看见器件特征。处理器和控制器PU使用图案识别来处理这些图像以识别周期性结构32至35的分离的图像42至45。以这种方式,图像并非必须在传感器框架内的特定部位处非常精确地对准,这极大地改善了测量设备整体上的吞吐量。
[0092] 一旦已经识别了周期性结构的分离的图像,就可以例如通过对所识别区域内的选定像素的强度值求平均值或求和,来测量那些单独的图像的强度。可以将图像的强度和/或其它属性彼此进行比较。可以组合这些结果以测量光刻过程的不同参数。重叠性能是这种参数的示例。
[0093] 在实施例中,图案化过程的所关注的参数中之一是特征宽度(例如,CD)。图6描绘了能够实现特征宽度确定的高度示意性的示例量测设备(例如,散射仪)。所述示例量测设备包括将辐射投影至衬底W上的宽带(白光)辐射投影仪2。重引导后的辐射被传递至光谱仪检测器4,所述光谱仪检测器测量镜面反射辐射的光谱10(强度作为波长的函数),正如例如在左下方的曲线图中示出的。基于此数据,可以由处理器PU,例如由严格耦合波分析和非线性回归、或通过与图6的右下方示出的模拟光谱的库的比较,来重构导致所检测的光谱的结构或轮廓。通常,对于重构,结构的一般形式是公知的,并且根据制造所述结构的过程的知识来假定一些变量,从而使得仅所述结构的几个变量根据测量数据来确定。这种量测设备可以被配置为正入射量测设备或斜入射量测设备。此外,除了通过重构进行参数的测量以外,角分辨散射测量也有用于对产品和/或抗蚀剂图案中的特征的不对称性的测量。不对称性测量的特定应用是针对重叠的测量,其中目标包括叠置在另一组周期性特征上的一组周期性特征。例如,全文并入本文中的美国专利申请公开US2006-066855中描述了以这种方式进行的不对称性测量的构思。
[0094] 图7图示了适合用于本文中公开的本发明的实施例中的量测设备100的示例。全文以引用方式并入本文中的美国专利申请US 2006-033921和US 2010-201963中更详细地解释了这种类型的量测设备的操作原理。遍及所述设备具有若干分支的光轴由虚线O表示。在这种设备中,由源110(例如,氙气灯)所发射的辐射经由光学系统而被引导至衬底W上,所述光学系统包括:透镜系统120、孔板130、透镜系统140、部分反射表面150和物镜160。在实施例中,这些透镜系统120、140、160被布置成4F布置的双重序列。在实施例中,使用透镜系统120来对由辐射源110发射的辐射进行准直。根据需要,可以使用不同的透镜布置。可以通过在呈现衬底平面的空间光谱的平面中限定空间强度分布,来选择所述辐射入射在衬底上的角度范围。具体地,可以通过在作为物镜光瞳平面的背投图像的平面中在透镜120与透镜
140之间插入适合形式的孔板130来进行这种选择。通过使用不同的孔,不同强度分布(例如,环形、偶极等等)是可能的。在径向和周向方向上的照射的角度分布,以及诸如辐射的波长、偏振和/或相干性之类的属性,都可以被调整以获得期望的结果。例如,一个或更多个干涉滤光器130(参见图9)可以设置于源110与部分反射表面150之间以选择在(比如)400nm至
900nm或甚至更低(诸如200nm至300nm)范围内的所关注的波长。干涉滤光器可以是能够调谐的,而并非包括一组不同滤光器。可以使用光栅来代替干涉滤光器。在实施例中,一个或更多个偏振器170(参见图9)可以设置于源110与部分反射表面150之间以选择所关注的偏振。偏振器可以是可以调谐的,而并非包括一组不同偏振器。
[0095] 如图7所示,目标T被放置成使得衬底W垂直于物镜160的光轴O。因而,来自源110的辐射由部分反射表面150反射且经由物镜160聚焦至衬底W上的目标T上的照射斑S(参见图8)中。在实施例中,物镜160具有高数值孔径(NA),理想地为至少0.9或至少0.95。浸没量测设备(使用相对高折射率流体,诸如水)甚至可以具有大于1的数值孔径。
[0096] 与轴线O成角度而聚焦至照射斑的照射射线170、172产生衍射射线174、176。应记住,这些射线仅仅是覆盖所述衬底的包括目标T的区域的许多平行射线中的一个。照射斑内的每个元件在量测设备的视场内。由于板130中的孔具有有限的宽度(该有限的宽度是用以接纳有用量的辐射所必需的),则入射射线170、172实际上将占据一定角度范围,并且衍射射线174、176将稍微散开。根据小目标的点扩散函数,每个衍射阶将在一定角度范围上进一步散布,而非如示出的单条理想射线。
[0097] 由衬底W上的目标衍射的至少0阶被物镜160收集,并且被往回引导通过部分反射表面150。光学元件180将衍射束的至少一部分提供至光学系统182,所述光学系统使用零阶和/或一阶衍射束在传感器190(例如,CCD或CMOS传感器)上形成所述目标T的衍射光谱(光瞳平面图像)。在实施例中,设置了孔186以滤出某些衍射阶,使得将特定衍射阶提供至传感器190。在实施例中,孔186允许实质上或主要仅零阶辐射到达传感器190。在实施例中,传感器190可以是二维检测器,使得可以测量衬底目标T的二维角散射光谱。传感器190可以是例如CCD或CMOS传感器的阵列,并且可以使用例如每帧40毫秒的积分时间。传感器190可以用以测量呈单个波长(或窄波长范围)的重引导后的辐射的强度、分离地呈多个波长的重引导后的辐射的强度,或在一定波长范围上积分的重引导后的辐射的强度。此外,传感器可以用以分离地测量具有横向磁偏振和/或横向电偏振的辐射的强度,和/或在横向磁偏振辐射与横向电偏振辐射之间的相位差。
[0098] 可选地,光学元件180将衍射束的至少一部分提供至测量分支200以在传感器230(例如CCD或CMOS传感器)上形成衬底W上的目标的图像。测量分支200可以用于各种辅助功能,诸如聚焦所述量测设备(即,利用物镜160使得聚焦于衬底W,即衬底W对准焦点),和/或用于在介绍中提及的类型的暗场成像。
[0099] 为了针对光栅的不同大小和形状提供自定义视场,在从源110至物镜160的路径上在透镜系统140内设置一种可调整场光阑300。场光阑300包含孔302且位于与目标T的平面共轭的平面中,使得照射斑变为孔302的图像。可以根据放大因子而比例缩放图像,或所述孔与照射斑可以成1:1的大小关系。为了使照射可以适应于不同类型的测量,孔板300可以包括围绕圆盘而形成的多个孔图案,所述圆盘旋转以使期望的图案处于适当位置。替代地或另外,可以设置和调换一组板300,以实现相同的效果。另外或替代地,也可以使用可编程孔装置,诸如可变形反射镜阵列或透射式空间光调制器。
[0100] 典型地,目标将与它的平行于Y轴或平行于X轴而伸展的周期性结构特征对准。关于目标的衍射行为,具有在平行于Y轴的方向上延伸的特征的周期性结构具有在X方向上的周期性,而具有在平行于X轴的方向上延伸的特征的周期性结构具有在Y方向上的周期性。为了测量在两个方向上的性能,通常设置两种类型的特征。虽然为了简单起见将参考线和空间,但周期性结构无需由线和空间形成。此外,每个线和/或介于线之间的空间可以是由较小子结构形成的结构。另外,周期性结构可以被形成为在两个维度上同时具有周期性(例如在周期性结构包括支柱和/或通孔的情况下)。
[0101] 图8图示了典型的目标T的平面视图,和图7的设备中的照射斑S的范围。为了获得没有来自周围结构的干涉的衍射光谱,目标T在实施例中是周期性结构(例如,光栅),大于照射斑S的宽度(例如,直径)。斑S的宽度可以小于目标的宽度和长度。换言之,目标由照射“欠填充”,并且衍射信号基本上不含来自目标自身外部的产品特征及其类似物的任何信号。这种情形简化了所述目标的数学重构,这是由于可以将目标视为无限的。
[0102] 图9示意性地图示了基于使用量测而获得的测量数据而进行目标图案30'的一个或更多个所关注的变量的值的确定的示例过程。由检测器190检测到的辐射提供针对目标30'的所测量的辐射分布108。
[0103] 针对给定目标30',可以使用例如数值麦克斯韦求解器210(Maxwell solver 210)根据参数化数学模型206来计算/模拟辐射分布208。参数化数学模型206示出构成所述目标和与所述目标相关联的各种材料的示例层。参数化数学模型206可以包括用于在考虑中的所述目标的部分的特征和层的变量中的一个或更多个变量,其可以变化且被导出。如图9所示,变量中的一个或更多个变量可以包括一个或更多个层的厚度t、一个或更多个特征的宽度w(例如,CD)、一个或更多个特征的高度h、一个或更多个特征的侧壁角α,和/或特征之间的相对位置(本文中视为重叠)。尽管没有示出,但变量中的一个或更多个变量可以进一步包括但不限于:层中的一个或更多个层的折射率(例如,实折射率(real complex refracitive index)或复折射率、折射率张量等等)、一个或更多个层的消光系数、一个或更多个层的吸收率、在显影期间的抗蚀剂损失、一个或更多个特征的基脚(footing),和/或一个或更多个特征的线边缘粗糙度。根据图案化过程和/或其它测量过程的知识,可以将1-D周期性结构或2-D周期性结构的一个或更多个参数的一个或更多个值(诸如宽度、长度、形状或3-D轮廓特性的值)输入至重构过程。例如,变量的初始值可以是针对正被测量的目标的一个或更多个参数的那些预期值,诸如CD、节距等等的值。
[0104] 在一些情况下,可以将目标划分成单位单元的多个实例。在该情况下,为了帮助简易计算目标的辐射分布,可以将模型206设计为使用所述目标的结构的单位单元来进行计算/模拟,其中作为实例跨越完整目标而重复所述单位单元。因而,模型206可以使用一个单位单元进行计算并且复制结果以使用适当的边界条件来拟合整个目标,以便确定所述目标的辐射分布。
[0105] 除了在重构的时刻计算所述辐射分布208以外、或替代在重构的时刻计算所述辐射分布208,也可以针对在考虑中的目标部分的变量的多个变型来预计算多个辐射分布208以产生辐射分布的库以用于重构的时刻。
[0106] 接着在212处比较所测量的辐射分布108与所计算的辐射分布208(例如,接近该时刻处进行计算,或从库获得)以确定所测量的辐射分布108与所计算的辐射分布208之间的差。如果存在差异,则参数化数学模型206的变量中的一个或更多个变量的值可能发生改变,获得新的计算的辐射分布208(例如,计算的,或从库获得)且对照所测量的辐射分布108进行比较,直至在所测量的辐射分布108与所述辐射分布208之间充分匹配为止。那时,参数化数学模型206的变量的值提供所述实际目标30'的几何形状的良好或最优匹配。在实施例中,当所测量的辐射分布108与计算的辐射分布208之间的差在容许阈值内时存在充分匹配。
[0107] 在这些量测设备中,可以在测量操作期间提供衬底支撑件以保持所述衬底W。衬底支撑件可以在形式上与图1的衬底台WT类似或相同。在所述量测设备与所述光刻设备集成的示例中,衬底支撑件甚至可以为同一衬底台。可以设置粗定位装置和精定位装置以相对于测量光学系统准确地定位所述衬底。设置各种传感器和致动器例如以获取所关注的目标的位置,并且将所关注的目标带入至物镜下方的位置中。典型地,将对跨越所述衬底W的不同部位处的目标进行许多测量。所述衬底支撑件可以在X方向和Y方向上移动以获取不同的目标实例,并且在Z方向上移动以获得目标相对于所述光学系统的焦点的期望的部位。例如,当在实践中所述光学系统可以保持实质上静止(典型地在X和Y方向上,但可能也在Z方向上)且仅所述衬底移动时,方便地将操作考虑并描述为如同物镜一般被带入至相对于衬底的不同部位。假设所述衬底与所述光学系统的相对位置是适当的,原则上其中的哪一个在现实世界中移动并不重要,或若两者均移动,则是所述光学系统的一部分移动(例如,在Z方向和/或倾斜方向上)而所述光学系统的剩余部分静止且衬底移动(例如,在X方向和Y方向上,且根据需要在Z方向和/或倾斜方向上)的组合。
[0108] 在实施例中,目标的测量精度和/或灵敏度可以相对于提供至目标上的辐射束的一个或更多个属性,例如辐射束的波长、辐射束的偏振、辐射束的强度分布(即,角度或空间强度分布)等等而变化。因而,可以选择理想地获得例如所述目标的良好测量精度和/或灵敏度的特定测量策略。
[0109] 为了监控包括至少一个图案转印步骤(例如,光学光刻步骤)的图案化过程(例如,器件制造过程),则对被图案化的衬底进行检查,并且测量/确定被图案化的衬底的一个或更多个参数。所述一个或更多个参数可以包括例如介于形成在被图案化的衬底之中或之上的连续层之间的重叠、形成在被图案化的衬底之中或之上的例如特征的临界尺寸(CD)(例如,临界线宽)、光学光刻步骤的聚焦或聚焦误差、光学光刻步骤的剂量或剂量误差、光学光刻步骤的光学像差、放置误差(例如,边缘放置误差),等等。可以对产品衬底自身的目标和/或对设置于所述衬底上的专用量测目标执行这种测量。可以在抗蚀剂显影后但在蚀刻前执行测量,或可以在蚀刻后执行测量。
[0110] 在实施例中,从测量过程获得的参数是根据直接从所述测量过程确定的参数而导出的参数。作为示例,根据测量参数而获得的被导出的参数是用于图案化过程的边缘放置误差。边缘放置误差提供通过所述图案化过程而创建的结构的边缘的部位的变化。在实施例中,从重叠值导出所述边缘放置误差。在实施例中,从重叠值与CD值的组合导出所述边缘放置误差。在实施例中,从重叠值、CD值和与局部变化(例如,单独的结构的边缘粗糙度、形状不对称性,等等)对应的值的组合导出边缘放置。在实施例中,边缘放置误差包括被组合的重叠误差和CD误差的极值(例如,3倍标准差,即,3σ)。在实施例中,在多重图案化过程中(所述多重图案化过程涉及创建结构、并且涉及通过经过由所述图案化过程关于所述结构而提供的对于图案的蚀刻来移除结构的一部分而“切割”结构),所述边缘放置误差具有以下形式(或包括以下项中的一个或更多个):
[0111]
[0112] ,其中σ是标准差;σoverlay对应于重叠的标准差;σCDU stuctures对应于在所述图案化过程中创建的结构的临界尺寸均一性(CDU)的标准差;σCDU cuts对应于在所述图案化过程中创建的切口(如果存在)的临界尺寸均一性(CDU)的标准差;σOPE,PBA对应于光学邻近效应(OPE)和/或邻近偏置平均值(PBA)的标准差,其为在节距处的CD与参考CD之间的差;并且σLER,LPE对应于线边缘粗糙度(LER)和/或局部放置误差(LPE)的标准差。虽然以上公式涉及标准差,但其可以用不同的相当的统计方式(诸如方差)来配置方案。
[0113] 存在用于对在所述图案化过程中形成的结构进行测量的各种技术,包括使用扫描电子显微镜、基于图像的测量工具和/或各种专用工具。如上文论述的,快速和非侵入性形式的专用测量工具将辐射束引导至衬底的表面上的目标上、并且对被散射的(被衍射的/被反射的)束的属性进行测量。通过评估由所述衬底散射的辐射的一个或更多个属性,可以确定所述衬底的一个或更多个属性。这可以被称为基于衍射的测量。这种基于衍射的量测的一个这样的应用是在目标内的特征不对称性的测量中。这种特征不对称性的测量可以用作例如重叠的量度,但其它应用也是已知的。例如,可以通过比较衍射光谱的相反部分(例如,比较在周期性光栅的衍射光谱中的-1阶与+1阶)来测量不对称性。这种测量可以如以上描述来完成,并且如例如在全文以引用方式并入本文中的美国专利申请公开US2006-066855中所描述的那样来完成。基于衍射的量测的另一应用是在目标内的特征宽度(CD)的测量中。这些技术可以使用上文关于图6至图9而描述的设备和方法。
[0114] 现在,虽然这些技术是有效的,但需要提供一种导出目标内的特征不对称性(诸如重叠、CD不对称性、侧壁角不对称性,等等)的新测量技术。此技术可以有效地用于专门设计的量测目标或可能更显著地用于直接确定器件图案上的特征不对称性。
[0115] 参考图10,在重叠实施例的情境下描述这种测量技术的原理。在图10A中,示出目标T的几何对称的单位单元。目标T可以仅包括单位单元的单个实体实例或可以包括单位单元的多个实体实例,如图10C所示。
[0116] 目标T可以是专门设计的目标。在实施例中,目标是用于划线。在实施例中,目标可以是管芯内目标,即,目标是在器件图案中(且因而在划线之间)。在实施例中,目标可以具有与器件图案特征相当的特征宽度或节距。例如,目标特征宽度或节距可以小于或等于器件图案的最小特征大小或节距的300%、小于或等于器件图案的最小特征大小或节距的200%、小于或等于器件图案的最小特征大小或节距的150%,或小于或等于器件图案的最小特征大小或节距的100%。
[0117] 目标T可以是器件结构。例如,目标T可以是存储器器件的一部分(其常常具有几何对称的或可以是几何对称的一个或更多个结构,如以下进一步论述的)。
[0118] 在实施例中,目标T或单位单元的实体实例可以具有小于或等于2400平方微米的面积、小于或等于2000平方微米的面积、小于或等于1500平方微米的面积、小于或等于1000平方微米的面积、小于或等于400平方微米的面积、小于或等于200平方微米的面积、小于或等于100平方微米的面积、小于或等于50平方微米的面积、小于或等于25平方微米的面积、小于或等于10平方微米的面积、小于或等于5平方微米的面积、小于或等于1平方微米的面积、小于或等于0.5平方微米的面积,或小于或等于0.1平方微米的面积。在实施例中,目标T或单位单元的实体实例具有平行于衬底平面的小于或等于50微米、小于或等于30微米、小于或等于20微米、小于或等于15微米、小于或等于10微米、小于或等于5微米、小于或等于3微米、小于或等于1微米、小于或等于0.5微米、小于或等于0.2微米或小于或等于0.1微米的横截面尺寸。
[0119] 在实施例中,目标T或单位单元的实体实例具有小于或等于5微米、小于或等于2微米、小于或等于1微米、小于或等于500nm、小于或等于400nm、小于或等于300nm、小于或等于200nm、小于或等于150nm、小于或等于100nm、小于或等于75nm、小于或等于50nm、小于或等于32nm、小于或等于22nm、小于或等于16nm、小于或等于10nm、小于或等于7nm或小于或等于
5nm的结构的节距。
[0120] 在实施例中,目标T具有单位单元的多个实体实例。因此,目标T典型地可以具有这里所列出的较高的尺寸,而单位单元的实体实例将具有这里所列出的较低尺寸。在实施例中,目标T包括单位单元的50,000个或更多个实体实例、单位单元的25,000个或更多个实体实例、单位单元的15,000个或更多个实体实例、单位单元的10,000个或更多个实体实例、单位单元的5,000个或更多个实体实例、单位单元的1,000个或更多个实体实例、单位单元的500个或更多个实体实例、单位单元的200个或更多个实体实例、单位单元的100个或更多个实体实例、单位单元的50个或更多个实体实例,或单位单元的10个或更多个实体实例。
[0121] 理想地,单位单元的所述实体实例或单位单元的所述多个实体实例共同地填充所述量测设备的束斑。在该情况下,测量的结果基本上仅包括来自所述单位单元的实体实例(或其多个实例)的信息。在实施例中,束斑具有为50微米或更小、40微米或更小、30微米或更小、20微米或更小、15微米或更小、10微米或更小、5微米或更小、或2微米或更小的横截面宽度。
[0122] 图10A中的单位单元包括实体地实例化或将实体地实例化在衬底上的至少两个结构。第一结构1000包括线且第二结构1005包括椭圆型形状。当然,第一结构1000和第二结构1005可以是不同于所描绘结构的结构。
[0123] 另外,在这种示例中,在第一结构1000与第二结构1005之间可以存在从它们的预期位置的相对移位,这是由于它们分离地转移至衬底上从而具有重叠误差。在此示例中,第一结构1000相比于第二结构1005位于衬底上的更高层中。因而,在实施例中,可以在图案化过程的第一执行中在下部的第一层中产生所述第二结构1005,并且可以在所述图案化过程的第二执行中在比下部的第一层更高的第二层中产生所述第一结构1000。现在,没有必要使第一结构1000和第二结构1005位于不同层中。例如,在双重图案化过程(包括例如作为其部分的蚀刻过程)中,可以在同一层中产生第一结构1000和第二结构1005以基本上形成单个图案,但依据它们的在同一层内的相对放置仍可以存在“重叠”关注点。这种单层示例中,第一结构1000和第二结构1005两者可以具有例如类似于针对第一结构1000的图10A中所示的线的形式,但已经由第一图案转印过程提供于所述衬底上的第二结构1005的线可以与在第二图案转印过程中所提供的结构1000的线交错。
[0124] 显著地,所述单位单元具有、或能够具有相对于轴线或点的几何对称性。例如,图10A中的单位单元具有相对于例如轴线1010的反射对称性和相对于例如点1015的点/旋转对称性。类似地,可以看到,图10C中的单位单元的实体实例(且因而,单位单元的实体实例的组合)具有几何对称性。
[0125] 在实施例中,单位单元具有针对某一特征(诸如重叠)的几何对称性。本文中的实施例聚焦于当单位单元是几何对称时具有零重叠的所述单位单元。然而,替代地,单位单元可以具有针对某一几何不对称性的零重叠。将会接着使用适当的偏移和计算以考虑当单位单元具有某一几何不对称性时具有零重叠的所述单位单元。相关地,单位单元应能够根据某特征值而在对称性上发生改变(例如,变成不对称性,或变得进一步不对称,或从不对称情形变得对称)。
[0126] 在图10A的示例中,单位单元具有针对零重叠的几何对称性(但无需为零重叠)。这由箭头1020和1025表示,示出第一结构1000的线相对于第二结构1005的椭圆型形状均匀地对准(且所述均匀对准至少部分地使单位单元能够具有几何对称性,如图10A中示出)。因此,在这种示例中,当单位单元具有几何对称性时,存在零重叠。然而,当重叠存在误差(例如,非零重叠)时,单位单元不再是几何对称的,且根据定义所述目标不再是几何对称的。
[0127] 另外,在目标包括所述单位的多个实体实例的情况下,单位单元的所述实例被周期性地布置。在实施例中,单位单元的所述实例以晶格形式而布置。在实施例中,所述周期性布置具有在所述目标内的几何对称性。
[0128] 因此,在此技术中,如下文进一步论述的,利用与所关注的特征不对称性(例如,非零重叠)相关的几何对称性的改变(例如,几何不对称性的改变,或另一几何不对称性的改变,或从几何不对称性至几何对称性的改变)以能够确定特征不对称性(例如,非零重叠)。
[0129] 可以使用例如图7的量测设备利用辐射,来照射包括图10A的单位单元的实体实例的目标。可以例如由检测器190来测量被目标重引导的辐射。在实施例中,测量重引导后的辐射的光瞳,即傅立叶变换平面。这种光瞳的示例测量被描绘为光瞳图像1030。尽管光瞳图像1030具有菱型形状,但其无需具有这种形状。本文中的术语光瞳和光瞳平面包括其任何共轭物,除非情境另有要求(例如,在特定光学系统的光瞳平面正被识别的情况下)。光瞳图像1030实际上是依据重引导后的辐射的光瞳的光学特性(这种情况下是强度)指定的图像。
[0130] 出于方便起见,本文中的论述将聚焦于作为所关注的光学特性的强度。但本文中的技术可以用于一个或更多个替代的或额外的光学特性(诸如相位和/或反射率)。
[0131] 另外,出于方便起见,本发明中的论述聚焦于检测和处理重引导后的辐射的图像(且特别是光瞳图像)。然而,可以用与图像不同的方式来测量和表示重引导后的辐射的光学属性。例如,可以依据一个或更多个光谱(例如作为波长的函数的强度)来处理所述重引导后的辐射。因而,重引导后的辐射的检测到的图像可以被认为是重引导后的辐射的光学表示的示例。因此,在光瞳平面图像的情况下,光瞳图像是光瞳表示的示例。
[0132] 另外,重引导后的辐射可以是偏振的或非偏振的。在实施例中,测量束辐射是偏振辐射。在实施例中,测量束辐射被线性偏振。
[0133] 在实施例中,光瞳表示主要或实质上具有来自所述目标的重引导后的辐射的一个衍射阶。例如,辐射可以是辐射的特定阶的80%或更多、85%或更多、90%或更多、95%或更多、98%或更多,或99%或更多。在实施例中,光瞳表示主要或实质上具有零阶重引导后的辐射。可以例如当目标的节距、测量辐射的波长和(可选地)一个或更多个其它条件导致所述目标主要地重引导零阶时出现这种辐射(但可能存在一个或更多个较高阶辐射)。在实施例中,大部分光瞳表示是零阶重引导后的辐射。在实施例中,所述光瞳表示具有零阶辐射且分别具有一阶辐射,其可以接着被线性地组合(叠加)。图7中的孔186可以用以选择辐射的特定阶,例如零阶。
[0134] 关于与第一结构1000和第二结构1005的几何对称的单位单元对应的光瞳图像1030,可以看到,所述强度分布在所述光瞳图像内基本上对称(例如,具有与几何结构所属类型相同的对称性类型)。这种情形通过从光瞳图像1030移除对称强度分布部分(这产生被导出的光瞳图像1035)而被进一步确认。为了移除对称强度分布部分,特定光瞳图像像素(例如,像素)可以通过从所述特定光瞳图像像素处的强度减去对称地定位的光瞳图像像素的强度而使对称强度分布部分被移除,并且反之亦然。在实施例中,像素可以对应于检测器(例如,检测器190)的像素,但不必要;例如,光瞳图像像素可以是检测器的多个像素。在实施例中,像素强度被减去所跨越的对称点或对称轴对应于单位单元的对称点或对称轴。因此,例如,在考虑光瞳图像1030的情况下,可以通过例如从示出的所述特定像素处的强度Ii减去来自对称地定位的像素(即,相对于轴线1032对称地定位)的强度Ii',来移除所述对称性强度分布部分。因而,在对称强度部分被移除的情况下在特定像素处的强度Si则为Si=Ii-Ii'。可以针对所述光瞳图像的多个像素(例如,在所述光瞳图像中的所有像素)重复此步骤。如在被导出的光瞳图像1035中看到的,与对称单位单元对应的强度分布是基本上完全对称的。因而,具有对称单位单元几何形状(且如果适用,具有所述单位单元的实例的某一周期性)的对称目标在由所述量测设备测量时导致对称光瞳响应。
[0135] 现在参考图10B,关于图10A中所描绘的单位单元来描绘重叠误差的示例。在这种情况下,所述第一结构1000相对于所述第二结构1005在X方向上移位。具体地,在第一结构1000的线上居中的轴线1010在图10B中向右移位至轴线1045。因而,存在有在X方向上的重叠1040的误差;即,X方向重叠误差。当然,第二结构1005可以相对于第一结构1000移位,或第二结构1005与第一结构1000两者可以相对于彼此而移位。在任何情况下,结果是X方向重叠误差。然而,如根据这种单位单元布置应了解的,第一结构1000与第二结构1005之间的在Y方向上的仅相对移位将不会改变这种单位单元的几何对称性。但在利用适当几何布置的情况下,在两个方向上或在所述单位单元的部分的不同组合之间的重叠可以改变对称性且也可以被确定,如下文进一步论述的。
[0136] 由于单位单元的实体配置从图10A中的单位单元的名义实体配置改变且所述改变由重叠1040的误差表示,因此导致了所述单位单元已变得几何不对称。这可以通过具有不同长度的箭头1050和1055看到,所述箭头示出第二结构1005的椭圆型形状相对于第一结构1000的线不均匀地定位。相对于光瞳图像1030的对称点或对称轴,(即在所述情况下,现在示出为轴线1034的轴线1032)来检查对称性。
[0137] 可以使用例如图7的量测设备利用辐射来照射图10B的单位单元的实体实例。可以例如由检测器190来记录重引导后的辐射的光瞳图像。将这种光瞳图像的示例描绘为光瞳图像1060。光瞳图像1060实际上是强度的图像。虽然光瞳图像1060具有菱型形状,但其并不必需具有这种形状;其可以是圆形形状或任何其它形状。此外,所述光瞳图像1060具有与光瞳图像1030实质上相同的轴线或坐标部位。即,在这种实施例中,图10A的单位单元中的对称轴1010和图10B的单位单元中的相同轴线与光瞳图像1030、1060的对称轴1032对准。
[0138] 关于与第一结构1000和第二结构1005的几何不对称的单位单元对应的光瞳图像1060,在视觉上似乎为:所述光瞳图像内的强度分布是基本上对称的。然而,在所述光瞳图像内存在有不对称强度分布部分。这种不对称强度分布部分是由于单位单元中的不对称性而导致的。此外,不对称强度分布的量值显著低于光瞳图像中的对称强度分布部分的量值。
[0139] 因此,在实施例中,为了更有效地隔离不对称强度分布部分,可以从光瞳图像1060移除对称强度分布部分,这种情形引起了被导出的光瞳图像1065。与获得被导出的光瞳图像1035的情况类似,特定光瞳图像像素(例如,像素)可以通过从所述特定光瞳图像像素处的强度减去对称定位的光瞳图像像素的强度而使对称强度分布部分被移除,并且反之亦然,如上文论述的。因此,例如,在考虑光瞳图像1060的情况下,可以通过例如从示出的该特定像素处的强度Ii减去来自对称地定位的像素(即,相对于轴线1032对称地定位)的强度Ii',来移除所述对称性强度分布部分以得到Si。可以针对所述光瞳图像的多个像素(例如,在所述光瞳图像中的所有像素)重复此步骤。在图10A和图10B中,出于解释的目的而描绘了Si的完全导出的光瞳图像。如应了解的,图10A或图10B的被导出的光瞳图像的一半与其另一半相同。因此,在实施例中,来自所述光瞳图像的仅一半的值可以用于本文中论述的进一步处理,且因此,用于本文中的进一步处理的被导出图像光瞳可以是用于光瞳的Si值的仅一半。
[0140] 如在被导出的光瞳图像1065中看到,使用不对称单位单元的实体实例而测量到的强度分布并不对称。如在区1075和1080中看到,一旦移除了对称强度分布部分,就可以看到不对称强度分布部分。如上文提及的,示出完全导出的光瞳图像1065,且因此,在两个半边上示出不对称强度分布部分(尽管它们是在它们相应半边中的量值和分布的方面彼此相等的)。
[0141] 因而,几何域中的不对称性对应于光瞳中的不对称性。因此,在实施例中,提供一种使用周期性目标的光学响应的方法,所述周期性目标具有或能够具有在其单位单元的实体实例中的固有几何对称性以确定与实体配置改变对应的参数,所述实体配置改变导致所述单位单元的实体实例的几何对称性的改变(例如,造成不对称性,或造成进一步不对称性,或导致不对称单位单元变得对称)。特别地,在实施例中,如由量测设备测量的光瞳中的重叠诱发的不对称性(或无重叠诱发的不对称性)可以用以确定所述重叠。即,光瞳不对称性用以测量在所述单位单元的实体实例内的、并且因此在目标内的重叠。
[0142] 为了考虑如何确定与造成单位单元中的几何不对称性的实体配置改变对应的参数,可以依据对所述光瞳图像中的像素施加影响的目标的实体特性来考虑所述像素的强度。为了进行这种操作,将考虑重叠示例,但可以将技术和原理扩展至与造成单位单元中的几何不对称性(例如,不对称的侧壁角、不对称的底壁倾角、接触孔中的椭圆率,等等)的实体配置改变对应的另一参数。
[0143] 返回参考图10A和图10B的单位单元,可以将光瞳图像1060中的像素的强度Ii、I′i以分析的方式评估为可归因于所述单位单元的不同实体特性的强度分量的组合。特别地,从对称单位单元至不对称单位单元的实体配置改变可以被评估以确定强度分布以何种方式改变且具体地在光瞳图像内以何种方式改变。
[0144] 因此,在用以图示原理的非常简单的示例中,可以评估单位单元轮廓的实体配置的若干改变(但当然可以发生更多或不同的实体配置改变)。将被考虑的实体配置改变之一是结构1000的高度在Z方向上的改变,被指定为Δxh。但显著地,这种高度改变将是跨越所述单位单元的实体实例而大体上均一的。即,Δxh将引起在对称轴或对称点的一侧处的所述单位单元的改变后的实体配置与在所述对称轴或对称点的另一侧处的所述单位单元的改变后的实体配置相同。类似地,诸如CD改变、侧壁角改变等等的其它实体配置改变也将是跨越所述单位单元的实体实例而大体上均一的,且因而得到的在对称轴或对称点的一侧处的所述单位单元的改变后的实体配置与在所述对称轴或对称点的另一侧处的所述单位单元的改变后的实体配置相同。因此,为方便起见,将仅考虑Δxh,但其表示跨越所述单位单元均一的多种其它实体配置改变。
[0145] 所关注的所述单位单元的实体配置改变中的另一个是结构1000与结构1005之间的相对移位,即重叠1040的改变。这种重叠移位将被称作Δxov。当然,重叠可以被认为在不同或另外的方向上。显著地,Δxov将引起在对称轴或对称点的一侧处的单位单元的实体配置与在所述对称轴或对称点的另一侧处的单位单元的实体配置不同;每一对的对称像素具有关于重叠的信息。显著地,虽然大多数目标轮廓参数(CD、高度,等等)的改变引发了光瞳中的对称改变(且因而可以被视为对称参数),但重叠的改变引起所测量的光瞳中的不对称改变。因而,重叠的改变给出不对称光瞳响应。另外,大多数(如果不是全部)其它单位单元轮廓参数并不产生所述单位单元或光瞳响应的不对称性。然而,所述其它单位单元轮廓参数可能对所测量的重叠值有影响。如下文论述的,对于一阶,其它单位单元轮廓参数可能没有影响。在实施例中,对于二阶或较高阶,其它单位单元轮廓参数对重叠值的确定有影响。因此,如下文更详细地论述,通过测量光瞳不对称性,可以根据光瞳不对称性来确定重叠。
[0146] 具体地,为了评估可以如何从测量的光瞳不对称性来确定重叠,光瞳图像1060中的像素i的强度Ii可以定义为:
[0147]
[0148] 其中I0是可归因于照射辐射的基础强度且a、e、f和g是系数。因此,类似地,可以将光瞳图像1060中的互补对称像素的强度I′i定义为:
[0149]
[0150] 其中系数a’、b’、c’、d’、e’和f’对于互补对称像素的强度是特定的,并且与针对光瞳图像1060中的像素的强度Ii而言的系数a、b、c、d、e和f相关。
[0151] 在光瞳图像1060中的对称像素之间的强度差Si=Ii-I′i接着可以评估为:
[0152]
[0153] 已发现,由于例如对称性,可以仅包含对称参数的所有项(诸如eΔxh)消除,如在方程式(3)中看到的。另外,由于例如对称性,已发现具有重叠偶数幂的项是针对被对称地定位的像素相等的,且因此,诸如 之类的项同样被消除。这留下具有重叠与对称参数的组合的项、以及仅具有对于奇数幂(例如,对1、3、5、7等等的幂)的重叠的项。
[0154] 在以上的方程式(3)中,已发现强度差Si主要取决于aΔxov。即,强度差Si在很大程度上线性地取决于重叠,并且更显著地,重叠在很大程度上线性地取决于强度,具体地为强度差Si。因而,像素的强度的组合可以当与适当转换因子以线性方式组合时得到重叠的良好估计值。
[0155] 因此,在实施例中,已发现,可以从被适当加权的像素的强度的组合来确定重叠(其中加权自身用作强度至重叠的转换因子或可以与从强度至重叠的转换因子组合)。在实施例中,可以将重叠信号描述为:
[0156] M=∑iwiSi                                           (4)
[0157] 其中重叠信号M是在所测量的光瞳中的信号分量Si的加权组合且wi是用于信号分量Si中的每个的相应权重(且所述权重用作在信号分量与重叠之间的转换因子;如上文提及的,替代地,转换因子可以结合并不用以将信号分量转换成重叠的权重而使用)。在实施例中,权重wi是一种向量,该向量的量值与重叠相关。如上文提及的,可以针对所测量的光瞳的一半确定信号分量Si。在实施例中,如果针对所有对(N/2)对称像素(N)的信号分量Si具有实质上相同的量值,则可以根据以下公式对信号分量Si进行平均化并且与从信号分量Si的总数至重叠的转换因子C相组合以得到总重叠: 因此,在实施例中,权重可以具有两个作用:一个作用是作为每对像素关于其重叠测量的信赖度(trust),并且另一作用是将信号分量的光学特性(例如,强度程度,例如灰阶)的值转换成重叠值(以例如纳米为单位来表示)。如上文论述,第二作用可以被委托给转换因子。
[0158] 但是,在例如针对所有对的对称像素的信号分量Si并不具有实质上相同量值的情况下,将所测量的光瞳中的所有像素进行加权同样可能引起低信噪比(较差精度)。因此,需要将对重叠敏感以对重叠的计算有较大贡献的那些像素进行加权。因此,在实施例中,对重叠敏感的像素得到与具有对重叠的低灵敏度的那些像素(实际上不活跃的像素)相比不同的(例如,更高)权重。如上文提及的,在被导出的光瞳1065的区1075和1080中的像素具有对重叠的相对较高的灵敏度,而在被导出的光瞳1065中的剩余像素(其相对于区1075和1080中的像素具有低强度至不具有强度)具有对重叠的低灵敏度(且因此应被加权为对重叠确定有较低贡献)。
[0159] 在实施例中,针对方程式(3)的aΔxov项有效地确定所述权重。在实施例中,权重可以被扩展为针对aΔxov项以及bΔxovΔxh(和典型地针对诸如CD、侧壁角等等的其它参数的其它相当的项)来确定。然而,这种计算相比于仅针对方程式(3)的aΔxov项有效地确定权重可能更复杂。此外,在对非线性过程的鲁棒性即稳固性(针对对称参数)与确定重叠的精度(即,依据针对同一实际重叠的每次确定的被确定的值的接近程度)之间存在折衷。因此,使用这种计算,为了增强的稳固性而可能牺牲精密度。因此,可以执行优化以增强精密度(例如,最大化线性项的影响且抑制非线性项)、增强稳固性(例如,最大化非线性项)或寻找精密度与稳固性两者的平衡。但在任何情况下,使用与相关联的加权以线性方式组合的强度的组合可能导致重叠的快速确定,这是因为其仅仅需要光瞳采集和方程式(4)的简单计算。
[0160] 在实施例中,在较高阶项变得重要的情况下,可以采用非线性求解技术以求解具有 和/或其它较高阶项的方程式(3)。如应了解的,与简单地使所测量的光瞳中的每个信号分量Si乘以用于每个信号分量Si的相应权重wi且接着将这些乘积全部加在一起相比,非线性解技术可能更复杂。此外,在对非线性过程的稳固性与确定重叠的精密度(即,依据针对同一实际重叠的每次确定的被确定的值的接近程度)之间再次存在折衷。因此,使用这种计算,为了增强的稳固性而可能牺牲精密度。因此,可以执行优化以增强精密度和/或增强稳固性。
[0161] 因此,在认识到起因于由重叠造成的单位单元的几何不对称性的不对称强度分布的情况下,重叠误差可以经过聚焦于这种不对称强度分布的分析而确定。因而,现在将论述用于根据由于与重叠相关联的目标的实体配置的改变而出现的不对称强度分布来确定重叠的技术。
[0162] 参考图11,示意性地描绘了确定所述权重的方法。为了能实现权重确定,上文关于图9而描述的重构技术将会是有利的。即,在实施例中,使用CD重构以使重叠信号与不对称单位单元的实体实例的光瞳图像隔离。
[0163] 图11的方法涉及两个过程。第一过程1100涉及将重构技术用于目标的CD和/或一个或更多个其它轮廓参数以导出如作为图案化过程的部分而在衬底上被曝光的所述目标的名义轮廓(且因此,导出所述目标中的单位单元的一个或更多个实体实例的名义轮廓)。在具有目标的名义轮廓的情况下,在过程1110中使用重构技术的基本引擎以导出所述加权。所述加权可以接着用以从所测量的光瞳导出重叠,如关于图12进一步描述的。
[0164] 因此,在过程1100处,获得了衬底(该衬底上设置有作为目标的所关注的单位单元的一个或更多个实体实例)的测量1130。在实施例中,测量是针对在蚀刻后的目标。在实施例中,测量是针对在显影后但在蚀刻前的目标。在实施例中,目标是器件结构。在实施例中,可以使用诸如图7的量测设备之类的量测设备进行测量,或已经使用所述量测设备进行测量。例如,目标可以包括图10A或图10B的单位单元的实体实例,例如如图10C中所示的单个实例或多个相邻实例。在实施例中,获得目标的多个实例(并且因而所述单位单元的多个实体实例)的测量。在实施例中,测量是针对跨越所述衬底而分布的目标实例。在实施例中,测量了多个衬底,所述多个衬底各自具有一个或更多个目标实例(各自具有所述单位单元的一个或更多个实体实例)。因此,在实施例中,针对每个测量的目标获得辐射分布108。
[0165] 接着,在1100处的重构过程(诸如在图9中和关于图9描述的重构过程)用以导出单位单元的实体实例的名义轮廓,与图9的轮廓206相当。所述重构过程获得单位单元的实体实例的预期轮廓1120,以开始和促进所述重构过程。在实施例中,从跨越一个或更多个衬底的目标实例的轮廓的平均值获得被导出的名义轮廓。例如,用于每个目标的辐射分布108可以被处理以导出所述目标的该实例的特定轮廓,并且接着可以将用于所述目标的多个实例的多个轮廓一起平均化以导出所述名义轮廓。在实施例中,所述名义轮廓至少包括所述目标的几何轮廓。在实施例中,所述几何轮廓是3-D轮廓。在实施例中,名义轮廓包括关于构成实体目标的一个或更多个层的一种或更多种材料属性的信息。
[0166] 因此,在实施例中,名义轮廓可以被认为是针对从测量跨越所述衬底和可选地在多于一个衬底上的目标的众多实例而获得的所述目标(并且因此所述单位单元)的轮廓的各种参数值的重心。但是,在实施例中,名义轮廓可以具有不同的形式且是更特定的。例如,可以针对目标的一个或更多个特定实例(例如,通过使用源于来自多个衬底的相同目标部位的值)而限定所述名义轮廓。作为另一示例,可以针对特定衬底(例如,通过使用仅来自所述衬底的值)来限定所述名义轮廓。在实施例中,可以针对特定目标和/或衬底来调谐所述名义轮廓,这作为图12的过程的部分。例如,当测量所述目标和/或衬底作为图12的过程的部分时,可以将重构技术与测量的数据一起使用以微调用于所述目标和/或衬底的所述名义轮廓,微调后的名义轮廓可以接着用作本文中的名义轮廓以确定权重,并且所述权重可以接着与所述测量的数据一起使用,以得到一个或更多个重叠值。
[0167] 接着将重构后的名义轮廓1140提供至过程1110。因而,在实施例中,过程1110使用所述目标的被导出的名义轮廓,例如源自测量数据的器件的所述单位单元的蚀刻后几何轮廓。在实施例中,所述名义轮廓可以呈参数化模型的形式,比如根据测量的单位单元而参数化的模型206。因而,在实施例中,过程1110使用单位单元的被导出的轮廓模型,例如,从测量的数据导出的器件的所述单位单元的所述实体实例的蚀刻后几何轮廓的模型。
[0168] 在过程1110中使用本文中所描述的重构技术的基本引擎,连同被导出的轮廓或被导出的轮廓模型,以导出所述加权。在实施例中,被导出的轮廓模型、或从被导出的轮廓导出的被导出的轮廓模型用以确定对于所述单位单元中的重叠敏感的光瞳像素。特别地,在实施例中,通过使用模拟(例如,麦克斯韦求解器)来确定对光瞳响应的重叠的灵敏度,以确定对于针对所述名义轮廓所诱发的重叠改变的光瞳响应的改变。
[0169] 这种确定可以通过使被导出的轮廓模型发生改变,使得在所述模型中诱发特定量的重叠改变(例如1nm),而使被导出的轮廓模型的所有其它参数/变量不变来实现。这种情形实际上导致对称单位单元变得不对称或导致已经不对称单位单元变得对称以改变对称性(包括变得进一步不对称或从不对称情形变得对称)。
[0170] 可以接着基于在具有诱发的重叠改变的情况下导出的轮廓模型来导出(例如,使用麦克斯韦求解器、库搜索或其它重构技术)如将会在所述量测设备中预期到的光瞳(例如,针对处于某一测量束波长、测量束偏振、测量束强度等等情况下的辐射)。在单位单元的实体实例小于束斑的情况下,搜索重构可以将束斑视为由单位单元的实体实例填充。在实施例中,被导出的光瞳可以是模拟的光瞳图像1060和/或基于所述模拟的光瞳图像的被导出的光瞳图像1065。
[0171] 被导出的光瞳可以接着用以确定多个光瞳像素中的强度对于重叠改变的灵敏度,这种确定例如通过与针对不具有诱发的重叠的单位单元的被导出的光瞳(例如,用于不具有诱发的重叠的单位单元的被导出的光瞳可以是模拟的光瞳图像1030和/或基于所述模拟的光瞳图像的被导出的光瞳图像1035)进行比较来进行。在实施例中,这些灵敏度形成所述加权的基础。
[0172] 在实施例中,可以将光瞳的像素(并且因而所述像素强度、信号分量Si,等等)表达为向量。在实施例中,可以接着从建模中所产生的雅可比矩阵(Jacobian)矩阵导出所述加权。在实施例中,可以从建模中所产生的雅可比矩阵的摩尔-彭若斯(Moore-Penrose)伪逆导出所述加权。因此,针对方程式(3)的aΔxov项有效地确定权重。从所述雅可比矩阵或雅可比矩阵的摩尔-彭若斯伪逆导出的所述加权看起来良好地适用于相对适度的重叠变化(例如,在±3nm内或在±4nm内或在±5nm内)。
[0173] 在实施例中,权重可以被扩展为针对Δxov项以及bΔxovΔxh(和典型地针对诸如CD、侧壁角等等的其它参数的其它相当的项)来确定。在这种情况下,加权是在建模中所产生的除了雅可比矩阵以外的海森矩阵(Hessian)矩阵,或可以从所述海森矩阵导出。海森矩阵示出对由于另一(对称)参数(诸如CD)的一定量的改变而产生的重叠改变如何响应。因此,针对每个这样的参数,在海森矩阵中存在列。在实施例中,为了(更)稳固,可以变更权重,使得它们变得更大程度上正交于使单位单元敏感的列(参数)。为了变得更大程度上正交,可以将一个或更多个敏感列串接至雅可比矩阵,并且接着可以从这种雅可比矩阵(其中一个或更多个列来自串接至其的海森矩阵)计算摩尔-彭若斯伪逆。权重遵循这种计算。然而,这种计算可能较复杂且因而可能适合于如下那些情形:其中在实践中预期到重叠值超过从雅可比矩阵(的摩尔-彭若斯伪逆)导出的加权示出良好结果的重叠变化范围。
[0174] 在实施例中,权重可以被扩展为针对方程式(3)的其它项来确定。在该情况下,加权是在建模中产生的除了雅可比矩阵以外的三阶导数,或可以从所述三阶导数导出。
[0175] 如上文提及的,名义轮廓可以是每目标或衬底的微调后的名义轮廓。例如,当测量特定目标或衬底作为图12的过程的部分时,可以将重构技术与测量的数据一起使用以微调用于该目标或衬底的名义轮廓。现在,取决于微调,可以(重新)确定权重和/或在所进行的加权的类型之间作出选择(例如,雅可比矩阵或雅可比矩阵与海森矩阵的组合)。例如,先前可能已经选择权重(这基于没有被微调的名义轮廓)以抑制Δxh的效应,但如果微调识别和更新用于搜索目标和/或衬底的Δxh,则可能无需抑制Δxh的效应。因而,可以选择相对于稳固性更偏好精密度的权重。
[0176] 因此,从过程1110,可以输出权重wi的集合(例如向量)。权重wi自身可以充当强度至重叠的转换因子,或它们可以与从强度至重叠的转换因子相组合(所述转换因子可以被导出以作为相同建模的部分)。如从光瞳图像1065应了解的,区1075和1080中的像素相比于区1075和1080外部的像素具有对重叠的相对较高的灵敏度,且因而,它们的加权将明显地不同于(例如,高于)区1075和1080外部的像素的加权(所述像素具有对重叠的相对低灵敏度)。因此,当将权重与具有单位单元的一个或更多个实体实例的目标的所测量的强度值相组合(诸如根据方程式(4))时,可以针对特定目标(诸如具有单位单元的实体实例的器件图案)获得重叠信号。
[0177] 另外,可以确定一个或更多个测量参数以形成用于获得目标的所测量强度值的测量策略。一个或更多个测量参数可能影响像素的重叠灵敏度。例如,重叠灵敏度跨越不同的测量束波长而变化。因此,在实施例中,可以使一个或更多个测量参数(诸如波长、偏振、剂量、由检测器传感器获得的目标的一个特定照射的多个光学特性读数(所述读数通常被平均化以提供用于所述目标的测量的平均化光学特性值))发生变化以作为建模过程1110的部分。例如,可以针对特定诱发的重叠改变来检查一个或更多个测量参数以确定所述一个或更多个测量参数的值,所述值使得将在例如当加权是针对所述一个或更多个参数的一个值时而获得的重叠相对于当加权是针对所述一个或更多个参数的另一值时而获得的重叠之间的误差残差减小至最小值或低于某一阈值。因此,可以接着获得改善精密度的一个或更多个测量参数的值。
[0178] 另外,对过程变化的稳固性跨越一个或更多个测量参数的不同值而不同。例如,具体地,对过程变化的稳固性跨越测量束波长和/或测量偏振的不同值而不同。因而,在实施例中,加权方案应至少解决对于缺乏针对过程变化的稳固性的主要贡献因素。因此,除了为了改善精密度确定一个或更多个测量参数的值以外、或替代为了改善精密度确定一个或更多个测量参数的值,也可以针对不同的特定诱发的重叠改变值(和/或针对被导出的轮廓模型的一个或更多个其它参数的特定诱发的改变,诸如CD、侧壁角等等的改变)检查一个或更多个测量参数以获得在使用具有对过程变化的有所增强的稳固性的加权的情况下实现结果的一个或更多个测量参数的值。例如,针对诱发的重叠改变的不同量,可以评估一个或更多个测量参数的各种值以确定一个或更多个测量参数的值,所述值使得在使用与所述一个或更多个测量参数的所述值相关联的加权的情况下造成被确定的重叠中的最小(或低于阈值)的变化。当然,可以在选择一个或更多个测量参数的值时使用在精密度与增强的稳固性之间的平衡。例如,可以将加权应用在针对精密度而确定的一个或更多个测量参数的值(例如,应用于对精密度进行测量的性能量度的权重)与针对增强的稳固性而确定的一个或更多个测量参数的值(例如,应用于对稳固性进行测量的性能量度的权重)之间,并且接着可选择最大、排名最高等等的组合。并且当然,一个或更多个测量参数的多个值可以被确定为使得在总体测量策略中实际上存在多个不同的测量策略。可以根据一个或更多个性能量度对所述多个值进行排名。因此,可选地,可以从过程1110输出测量策略以用于获得具有单位单元的一个或更多个实体实例的目标的所测量的强度值。
[0179] 另外,诸如CD、侧壁角等等的一个或更多个非重叠参数可能影响用于将强度信号映像至重叠的权重。如上文提及的,这种情境下确定权重的示例方式是使用海森矩阵和/或三阶导数。因此,在实施例中,为了考虑一个或更多个非重叠参数以便仍维持良好的重叠值,各种可能的加权方案是可能的。在实施例中,为了重叠确定精密度,而可以优化重叠信息性重叠像素和它们的加权。这种优化可能需要良好的模型品质,即,非重叠参数的良好估计。在实施例中,为了对诸如非重叠参数中的过程变化的增强的稳固性,可以优化重叠信息性像素和它们的权重。这可能以精密度为代价。
[0180] 在实施例中,可以使用例如关于图9所描述的重构技术进行一个或更多个非重叠参数的估计,并且将所述一个或更多个非重叠参数的估计前馈以调谐被导出的轮廓或被导出的轮廓模型。例如,CD重构可以估计衬底处的特定部位处的目标的CD和/或针对图案化过程设定的特定组合(例如,曝光剂量、曝光焦点等等)估计目标的CD,且使用CD估计值以调谐被导出的轮廓或被导出的轮廓模型的CD参数。在实施例中,可以执行确切被导出的轮廓或被导出的轮廓模型参数的迭代性重构。
[0181] 参考图12,确定用于具有能够是几何对称的单位单元的一个或更多个实体实例的目标的重叠值的方法。这种方法涉及两个过程1200和1210。过程1200涉及获得具有单位单元的一个或更多个实体实例的目标的测量。过程1210涉及基于来自过程1200的目标测量而确定用于所测量目标的重叠值。
[0182] 过程1200将待测量的包括能够是几何对称的如本文中所描述的单位单元的一个或更多个实体实例的目标1220视为输入。在实施例中,将具有目标的一个或更多个实例的衬底提供至量测设备,诸如图7的量测设备。
[0183] 可选地,过程1200将被指定用于目标的特定测量策略1230视为输入。在实施例中,测量策略可以指定一个或更多个测量参数的值,所述测量参数诸如选自以下中的一个或更多个:测量束波长、测量束偏振、测量束剂量、和/或由量测设备的检测器传感器获得的目标的一个特定照射的多个光学特性读数。在实施例中,测量策略可以包括多个测量策略,每个测量策略指定一个或更多个测量参数的值。测量策略可以用以测量所述目标。
[0184] 过程1200接着根据可选的测量策略使用量测设备来测量目标。在实施例中,量测设备获得重引导后的辐射的光瞳表示。在实施例中,量测设备可以产生光瞳表示,诸如光瞳图像1030(如果例如目标不具有重叠误差)或光瞳图像1060(如果例如目标具有重叠误差)。因而,在实施例中,过程1200输出关于来自目标的重引导后的辐射的光学信息1240,诸如所述辐射的光瞳表示。
[0185] 过程1210接着接收光学信息1240且处理所述光学信息以确定用于目标的重叠值1260。在实施例中,过程1210接收根据图11的方法确定的加权1250以作为输入,接着将所述加权与从光学信息1240获得或导出的一个或更多个光学特性值(例如,强度)相组合。
[0186] 在实施例中,过程1210(或过程1200)可以处理光学信息以从所述光学信息导出原始重叠信号。在实施例中,原始重叠信号包括光学信息的差异,即跨越对称轴或对称点的对称像素之间的光学特性值的差异。在实施例中,可以获得被导出的光瞳图像1035(如果例如目标不具有重叠误差)或被导出的光瞳图像1065(如果例如目标具有重叠误差)。
[0187] 在实施例中,将关于由目标重引导的辐射的加权和光学信息(例如,来自过程1200的光学信息或来自过程1200的光学信息的被处理的版本,诸如原始重叠信号)进行组合以确定重叠值。在实施例中,使用与相关联的加权以线性方式组合的重引导后的测量束强度的组合可能导致重叠的快速确定。例如,在实施例中,可以使用方程式(4)导出重叠值,其中重叠值M被计算为在使用用于来自原始重叠信号的信号分量Si中的每个信号分量的相应权重wi的情况下所述信号分量Si的加权组合。
[0188] 在实施例中,从过程1200收集的光学信息可以另外用以导出除重叠之外的一个或更多个目标相关参数。例如,从过程1200收集的光学信息可以用于重构过程中以导出目标的任何一个或更多个几何轮廓参数,诸如CD、侧壁角、底部地板倾角,等等。因此,在实施例中,从目标(诸如管芯内的蚀刻后目标)收集的同一组光学信息可以用以确定搜索目标(诸如器件结构)的重叠、CD和/或一个或更多个其它几何轮廓参数。
[0189] 虽然如上文提及的,已聚焦于强度,但在实施例中,光学特性可以是反射率,辐射可以被偏振且测量可以是交叉偏振测量。例如,曝光至某一线性偏振的目标可以在具有该偏振或在不同偏振的情况下测量。因此,对于对称像素pi和p′i(其中撇号/单引号表示对称部位),则用于那些像素的反射率R可以被测量如下:
[0190]
[0191]
[0192] 其中s表示s偏振且p表示p偏振。因而,反射率Rss对应于当使用s偏振来照射所述目标时所测量的s偏振辐射的反射率R,反射率Rsp对应于当使用p偏振来照射所述目标时所测量的s偏振辐射的反射率R,以此类推。此外,可以在不同波长的情况下采取这些测量。且已发现,在某些实施例中,可以从全等项Rps和Rsp发现和确定用于响应于重叠改变而改变对称性的对称单位单元的重叠。
[0193] 另外,非线性可能源自重叠和/或源自其它参数。如上文论述的,可以经过例如通过使用海森矩阵和/或三阶导数导出所述加权而进行的对所述加权的适当选择,来解决某些非线性。在实施例中,可以通过使用非线性解以从来自目标的重引导后的辐射的所测量的光学信息导出重叠,来解决所述非线性。
[0194] 在实施例中,可以经过使用如以上描述的用以导出所述名义轮廓的重构引擎,来确定所述重叠。例如,根据基于被导出的名义轮廓和/或被导出的名义轮廓模型的模型进行工作的非线性求解器可以用以导出从来自所关注的目标的重引导后的辐射所预期的光学信息的模拟版本,可以将光学信息的所述模拟版本与所关注的目标的所测量的光学信息相比。如上文提及的,所关注的目标包括可以对称且在经受重叠时改变对称性的单位单元的一个或更多个实体实例。接着,如果在某一阈值内不存在一致,则可以变化几何轮廓参数(例如,重叠),且将光学信息的模拟版本进行重新计算并且与所测量的光学信息进行比较直至在阈值内存在一致为止。类似地,可以将所关注的目标的所测量的光学信息与从来自所述所关注的目标的重引导后的辐射所预期的光学信息的库进行比较(所述库将会典型地使用非线性求解器而导出)。接着,如果在某一阈值内不存在一致,则可以变化几何轮廓参数(例如,重叠)且可以针对与所测量的光学信息相比较的光学信息的模拟版本再次咨询所述库,直至在阈值内存在一致为止。
[0195] 在实施例中,使用重构引擎与来自所关注的目标的所测量的光学信息会使用所测量的光学信息(已从所测量的光学信息中移除了辐射的对称分布),如以上描述的,所述移除例如通过从每个像素处的光学特性值减去跨越对称点或对称轴而对称地定位的像素处的光学特性值来进行。因而,光学信息实质上仅关于辐射的不对称分布。类似地,光学信息的模拟版本或库版本实质上仅关于辐射的不对称分布。这种情形将促进计算和/或比较的速度,这是因为将无需计算或评估光学信息的相当大部分(这是由于其将经过差分化而消除)。
[0196] 在非线性解的另一个实施例中,可以利用非线性求解器对方程式(3)的展开式进行求解以导出Δxov。特别地,可以确定方程式(3)中的(a-a′)、(b-b′)、(c-c′)等等的值(在适用时),来作为所关注的单位单元的被导出的名义轮廓和/或被导出的名义轮廓模型的确定的部分。例如,一旦已经将被导出的名义轮廓确定为非线性重构的部分,就可以获得对应于被导出的名义轮廓(例如,对应于针对重叠的特定改变的被导出的名义轮廓的扰动(例如,Δxov))的光瞳的模拟的或库光学信息,并且接着可以针对光瞳中的每个像素利用非线性求解器确定a、b、c等等的值(在适用时),所述非线性求解器例如经过解而进行迭代(例如,响应于重叠的一个或更多个扰动(例如,Δxov))以便使残差最小化。在适用时,结果是用于所述光瞳的a值的向量(每个a值对应于光瞳的一像素)、用于光瞳的b值的向量(每个b值对应于光瞳的一像素)、用于光瞳的c值的向量(每个a值对应于光瞳的一像素),以此类推。这些向量接着可以与从具有所关注的单位单元的目标的所测量的光瞳而确定的Si值的向量相组合。非线性求解器(该非线性求解器例如经过解而进行迭代以便最小化残差)可以采取这些输入向量且接着对重叠Δxov进行求解。
[0197] 虽然以上的论述已聚焦于使用对单位单元的实体轮廓进行建模的模型,但在实施例中,可以使用无需实体轮廓建模的数据驱动技术导出加权,或可以利用补充实体轮廓建模的数据驱动技术导出加权。因此,在实施例中,有利地,数据驱动技术可以无需实体轮廓模型;这种情形可能有利于例如限制机密信息的共享,这是因为实体轮廓建模起始于、并且确定了在如果单位单元是器件图案结构的情况下可以是敏感信息的关于单位单元(且因此关于目标)的细节。在实施例中,数据驱动技术可以使得能够相对快速确定例如如上文论述的权重,以将所测量的光学信息(例如,光瞳强度)转变为图案化过程参数(例如,重叠)。在实施例中,数据驱动技术使得能够在初期确定图案化过程参数,这是由于(如下文论述)所述数据技术驱动可以仅需要所测量的数据和相关联的参考。
[0198] 因此,在实施例中,所述数据驱动技术涉及利用所关注的图案化过程参数(例如,重叠)的某一个设定值或更多个某些设定值来处理从一个或更多个衬底测量的数据(“得到”数据),使所关注的单位单元的实体实例在所述衬底上被图案化以作为一个或更多个目标。用以产生图案的某一图案化过程参数(例如,重叠)的“设定”故意值连同从那些图案所测量的数据(“得到”数据)的这种组合被称作“设定得到的”过程。例如,单位单元的特定量的实体实例的重叠作为图案化过程的部分而产生,且接着具有所述单位单元的实体实例的目标被测量以获得例如其光瞳图像(即,“得到”数据)。在实施例中,可以这种方式图案化并且测量多个衬底。在实施例中,产生重叠的多个不同的设定值,所述不同的重叠值可以针对一个衬底,可以跨越不同衬底,等等。在实施例中,每个衬底将具有所测量的多个目标实例,从而得到例如多个光瞳图像。在实施例中,可以通过诱发来自在所述单位单元的所述实体实例的不同图案化部分之间的设计放大率的放大率改变,而产生重叠。在实施例中,可以通过提供来自在所述单位单元的所述实体实例的不同图案化部分之间的设计定位的故意平移,而产生重叠。因而,结果是例如由光刻设备诱发的目标中的有意施加的重叠。
[0199] 在实施例中,通常,获得测量数据和相关联的参考值。因此,在实施例中,如果存在不同的重叠但那些重叠是由另一构件确定(例如,从扫描电子显微镜来确定),则无需提供有意的重叠。在实施例中,具有对应参考数据(例如,来自CD-SEM)的临界尺寸均一性衬底可以用作输入数据。在具有所测量的数据和参考值的情况下,如本文中论述的,数据驱动方法可以找到权重使得推断出的重叠值类似于参考值。因此,虽然数据驱动技术的论述将聚焦于在故意设定重叠值的情况下获得的所测量的光学信息和光瞳表示,但它们通常可以应用于更一般的测量数据和相关联的参考值(无论被测量或被故意设定)。
[0200] 另外,虽然这里的技术涉及特定重叠(例如,在X方向上的重叠),但应了解,可以针对不同的重叠(例如,在Y方向上的重叠、不同层中的结构之间的重叠,等等)使用对应测量数据和参考值来重复这里的技术。因此,可以针对不同的重叠确定不同权重集合。
[0201] 因此,参考图13,描绘了数据驱动技术的实施例的高阶流程。在1300处,执行计算以导出如上文论述的权重,从而将所测量的光学信息(例如,光瞳强度)转变为图案化过程参数(例如,重叠)。特别地,所述计算使用若干输入。输入之一是为用于具有所关注的单位单元的实体实例的目标的设定得到的过程的设定值1320。如上文提及的,可以跨越一个或更多个衬底来测量目标的多个实例,其中所述目标的一个或更多个实例相比于所述目标的一个或更多个其它实例具有图案化过程参数的故意设定值的不同值。另一输入是用于不同设定值处的目标的那些实例的所测量的光学信息1310。在实施例中,光学信息1310是多个光瞳表示,每个光瞳表示对应于目标的实例。接着,以数据驱动技术来处理输入1310和1320以获得权重1330。在下文中描述这种数据驱动技术的示例。
[0202] 在实施例中,用以找出权重w的向量的数据驱动技术的示例是:最小化以下目标或评价函数/优值函数以获得权重w:
[0203]
[0204] 其中w是权重的向量以用于与所测量的光学特性(例如,强度)的值相组合以确定图案化过程参数(例如,重叠),每个权重对应于光瞳的像素值;Pi是矩阵,其中每个列包含来自目标的实例的所测量的光瞳的所测量的光学特性的像素值,所述目标从被图案化的衬底i获得,以便获得所述图案化过程参数的特定设定值(所述矩阵接着被转置使得列变为光瞳的像素,行变为衬底上的目标的一个或更多个实例,并且所述矩阵中的值是在相应像素处所测量的光学特性的值);si是向量,包含针对在一个或更多个衬底i上的目标的所述一个或更多个实例的所述图案化过程参数的对应设定值,每个设定值对应于图案化过程参数值;1是设定值数目的大小的单位向量;并且ci是针对每个衬底的所述图案化过程参数的推断值 与所述图案化过程参数的设定值之间的偏移差;并且D是所测量的衬底的数目。矩阵Pi可以是针对所述目标的每个实例的不同结果的组合。例如,可以在不同波长、不同偏振等等的情况下测量目标。因此,这些结果可串接至每个列,因此例如单个列可以具有用于在第一波长和第一偏振的情况下所测量的目标的光瞳的像素的值,接着是用于在不同的第二波长的情况下所测量的目标的光瞳的像素的列中的值,或接着是用于在不同的第二偏振的情况下所测量的目标的光瞳的像素的列中的值(且其可以接着是在一个或更多个不同偏振和/或波长的情况下的另外的值)。
[0205] 因此,实际上,这种函数发现所述权重向量w,使得针对每个衬底i的推断值与除偏移ci之外的设定值si看起来尽可能类似(在L2正则化范数意义上)。原则上,可以由矩阵求逆来计算最优权重和偏移。由于利用一个或更多个特定量测设备获得所测量的光学特性的像素值,因此可以由校准数据来归一化所获得的权重以降低所述特定量测设备自身对结果的影响。
[0206] 代替将目标或评价函数用作数据驱动技术来找出如以上描述的权重、或除了将目标或评价函数用作数据驱动技术来找出如以上描述的权重以外,数据驱动技术也可以使用机器学习算法(类似于神经网络)或非线性方法以利用故意提供的所关注的所述图案化过程参数(例如,重叠)的差而基于目标的所测量的光瞳来确定权重。
[0207] 在实施例中,在训练(即,使用目标或评价函数或机器学习算法)之后,可以使用其它数据来检查所述权重。存在训练引起过度拟合的机会;数据驱动方法“恰好”将数据拟合至设定值。因此,完成交叉验证。使用具有已知设定值的新数据以检查权重。这种新数据也可以是在考虑中的衬底的子集。因此,在实施例中,对衬底的子集进行训练,并且对衬底的另一(分离的)子集进行验证。
[0208] 图14描绘与实体几何模型结合的数据驱动技术的实施例的高阶流程。这种实施例中,如关于图13所描述的数据驱动技术可以用以导出权重,所述权重用以调节实体几何模型(例如,通过使用海森矩阵以获得更好的模型名义值、通过改变模型名义值,等等)使得来自实体几何模型(例如,实体几何模型的雅可比矩阵(的摩尔-彭若斯伪逆))的权重与由所述数据驱动技术而确定的权重相同或类似(例如,在值、统计等等方面)。因而,在实施例中,(缩放的)权重向量w可以用以微调所述实体几何模型,使得实体几何模型被调节使得雅可比矩阵(的摩尔-彭若斯伪逆)类似于所述(缩放的)权重向量w。
[0209] 因此,在实施例中,在1400处,执行数据驱动技术(其示例在上文描述)以导出如上文论述的权重。所述计算使用若干输入。输入之一是用于具有所关注的单位单元的实体实例的目标的设定得到的过程的设定值1420。如上文提及的,可以跨越一个或更多个衬底测量目标的多个实例,其中所述目标的一个或更多个实例具有与所述目标的一个或更多个其它实例相比的图案化过程参数的故意设定值的不同值。另一输入是用于不同的设定值处的目标的那些实例的所测量的光学信息1410。在实施例中,光学信息1410是多个光瞳表示,每个光瞳表示对应于目标的实例。接着,在数据驱动技术中处理输入1410和1420以获得权重1430。
[0210] 将权重1430输入至过程1440以使用所述权重1430微调实体几何模型。过程1440获得用于单位单元的实体轮廓1450(过程1440使用所述实体轮廓以导出实体轮廓模型)或获得用于单位单元的实体轮廓模型1450(过程1440使用所述实体轮廓模型)。在实施例中,实体轮廓是如上文论述的单位单元的被导出的名义轮廓和/或被导出的名义轮廓模型。
[0211] 过程1440使用实体几何模型以导出对应于权重1430的权重。接着将那些权重与权重1430进行比较。所述比较可以涉及量值的匹配、统计分析、拟合评估,等等。如果存在显著差异(例如,通过评估相对于阈值的所述比较),则可以调谐实体轮廓的一个或更多个参数。例如,一个或更多个实体轮廓参数(例如,CD、侧壁角、材料高度等等)可以被调谐,使得比较结果较接近于或等于例如某一阈值。在实施例中,海森矩阵可以用以进行这种微调,或可以使用非线性求解器(包括一个或更多个前向调用(forwad calls)(例如,麦克斯韦求解器))来进行这种微调。调谐和比较可以迭代直至满足或超越阈值为止。接着,调谐后的实体几何模型可以输出更新后的权重1460以与所关注的目标的所测量的光学信息结合使用以导出图案化过程参数值。
[0212] 图15描绘结合实体几何模型的数据驱动技术的另外的实施例的高阶流程。当实体几何模型与所测量的数据类似地表现时,实体几何模型可以用以预测过程变化的影响。因此,在实施例中,实体几何模型的海森矩阵可以用以调谐权重,使得权重变得(更)正交于在用于数据驱动技术中的数据中不存在的过程变化,以获得用以调谐所述实体几何模型的权重。
[0213] 也可以在不利用数据驱动技术的情况下完成使用海森矩阵以调谐权重的这种方法。即,可以利用关联图11而描述的实体几何模型方法来完成使用海森矩阵以更新权重的这种技术。这种情况下,例如,权重可以被调谐使得权重变得(更)正交于在用以获得如上文论述的单位单元的被导出的名义轮廓和/或被导出的名义轮廓模型的数据中不存在的过程变化。经过这种调谐,权重对在用以创建实例几何模型的所测量的数据中没有观测到的过程变化变得更稳固。
[0214] 因此,在实施例中,在1500处,执行数据驱动技术(其示例在上文描述)以导出如上文论述的权重。所述计算使用若干输入。输入中之一是用于具有所关注的单位单元的实体实例的目标的设定得到的过程的设定值1510。如上文提及的,可以跨越一个或更多个衬底测量所述目标的多个实例,其中所述目标的一个或更多个实例与所述目标的一个或更多个其它实例相比具有图案化过程参数的故意设定值的不同值。另一输入是用于不同的设定值处的目标的那些实例的所测量的光学信息1505。在实施例中,光学信息1505是多个光瞳表示,每个光瞳表示对应于目标的实例。接着,在数据驱动技术中处理输入1505和1510以获得权重1515。
[0215] 将权重1515输入至过程1520以使用所述权重1515来微调实体几何模型。过程1520获得用于单位单元的实体轮廓1525(过程1520使用所述实体轮廓以导出实体轮廓模型)或获得用于单位单元的实体轮廓模型1525(过程1520使用所述实体轮廓模型)。在实施例中,实体轮廓是如上文论述的单位单元的被导出的名义轮廓和/或被导出的名义轮廓模型。
[0216] 过程1520使用实体几何模型以导出对应于权重1515的权重。接着将那些权重与权重1515进行比较。所述比较可以涉及量值的匹配、统计分析、拟合评估等等。如果存在显著差异(例如,通过评估相对于阈值的所述比较),则可以调谐实体轮廓的一个或更多个参数。例如,一个或更多个实体轮廓参数(例如,CD、侧壁角、材料高度等等)可以被调谐,使得比较结果更接近于或等于例如某一阈值。在实施例中,海森矩阵可以用以进行这种微调,或可以使用非线性求解器(包括一个或更多个前向调用(例如,麦克斯韦求解器))来进行这种微调。调谐和比较可以迭代直至满足或超越阈值为止。
[0217] 但是,如应了解的,图案化过程可以在执行期间发生变化且以不同方式用于图案化过程的不同执行。因此,针对数据驱动技术而获得的数据并没有考虑所有可能的图案化过程变化。但是,当实体几何模型的调谐已使其与所测量的数据类似地表现时,所述实体几何模型可以用以预测过程变化的影响且相应地调整权重。
[0218] 因此,在实施例中,调谐后的实体几何模型1530用以在1535处计算所述调谐后的实体几何模型的海森矩阵。海森矩阵1540接着用以在1545处调谐权重使得所述权重变得(更)正交于在用于数据驱动技术中的数据中不存在的过程变化(即,对所述过程变化稳固),以获得用以调谐实体几何模型的权重。换言之,权重被调谐为当与来自衬底的测量数据组合时(即使在所述衬底经受过程变化时)更可能得到准确结果。
[0219] 这里在重叠的情境下描述海森矩阵可以如何用以微调权重的非限制性示例;可以在适当时使用不同图案化过程参数。在这种示例中,假定仅评估一个重叠类型(例如,在X方向上的重叠)。在具有多个重叠类型的情况下的微调也是可能的。
[0220] 在使用海森矩阵来微调所述权重的这种实施例中,根据从一个或更多个设定得到的的(set-get)衬底所测量的数据通过对所述数据施加奇异值分解来估计重叠响应。假定本征向量d(其具有长度l)对应于重叠响应。接着,对以下方程式进行求解以找到向量Δp:
[0221]
[0222] 其中J是相对于重叠参数的雅可比矩阵;并且海森矩阵H是这样的矩阵:其中列包含相对于过程变化(例如,CD、材料高度等等的变化)和重叠参数的偏导数(雅可比矩阵和海森矩阵两者是从如以上描述的模型而获得的)。所确定的向量Δp则对应于为了获得更新的(例如,更好的)模型而待应用于模型中的非重叠参数的德尔塔参数或增量参数。
[0223] 为了使得权重对过程变化稳固(即,正交于过程变化),可以使用以下技术。光瞳I可以由以下二阶泰勒展开式限定:
[0224] I=Jo+HΔp o                                         (8)
[0225] 其中J是相对于所述重叠参数的雅可比矩阵;并且H是这样的矩阵:其中列包含相对于过程变化(例如,CD、材料高度等等的变化)和重叠参数的偏导数。向量Δp包含对应过程变化。因而,对于给定结构且对于具有重叠值ο的给定过程变化实例Δp,光瞳(大致)等于I。如应了解的,也可以通过将这些贡献相加而将以上公式化扩展至更多的重叠参数。此外,因为泰勒展开式中的较高阶被忽略,所以这种公式化是近似。
[0226] 现在,如果过程变化的影响小,则使用雅可比矩阵的彭若斯-摩尔求逆来计算权重。在仅一个重叠参数的情况下,权重等于 且实际上,关于光瞳的加权平均值(内积)引起重叠值o((Δp=0)),即
[0227]
[0228] 然而,当过程变化具有较大影响时,重叠响应发生改变:
[0229]
[0230] 为了使权重对这些变化稳固,
[0231] Hw=0                                               (11)
[0232] 这可以通过使权重w等于矩阵[J H]的伪逆的第一行实现。或换句话说,海森矩阵H在求逆之前串接至雅可比矩阵。以这种方式,权重变得正交于过程变化(但以损失某种精密度为代价)。
[0233] 因此,根据调谐1545,输出调谐后的权重1550以与所关注的目标的所测量的光学信息结合用以导出图案化过程参数值。
[0234] 图16描绘了结合实体几何模型的数据驱动技术的另一个实施例的高阶流程。在此实施例中,通过包括包含针对图案化过程的过程变化(例如图案化过程变化可以从CD测量获得)的合成光学信息(例如,光瞳表示),来扩展了输入至所述数据驱动技术的数据。可以使用数据驱动技术而单独使用合成光学信息或将合成光学信息与测量的光学信息组合以找到新权重。
[0235] 因此,在实施例中,在1500处,执行数据驱动技术(其示例在上文描述)以导出如上文论述的权重。所述计算使用若干输入。输入之一是用于具有所关注的单位单元的实体实例的目标的设定得到的过程的设定值1510。如上文提及的,可以跨越一个或更多个衬底测量目标的多个实例,其中所述目标的一个或更多个实例相比于所述目标的一个或更多个其它实例具有图案化过程参数的故意设定值的不同值。另一输入是用于不同的设定值处的目标的那些实例的所测量的光学信息1505。在实施例中,光学信息1505是多个光瞳表示,每个光瞳表示对应于目标的实例。接着,在数据驱动技术中处理输入1505和1510以获得权重1515。
[0236] 将权重1515输入至过程1520以使用所述权重1515来微调实体几何模型。过程1520获得用于单位单元的实体轮廓1525(过程1520使用所述实体轮廓以导出实体轮廓模型)或用于单位单元的实体轮廓模型1525(过程1520使用所述实体轮廓模型)。在实施例中,实体轮廓为如上文论述的单位单元的被导出的名义轮廓和/或被导出的名义轮廓模型。
[0237] 过程1520使用实体几何模型以导出对应于权重1515的权重。接着将那些权重与权重1515进行比较。所述比较可以涉及量值的匹配、统计分析、拟合评估等等。如果存在显著差异(例如,通过评估相对于阈值的所述比较),则可以调谐实体轮廓的一个或更多个参数。例如,一个或更多个实体轮廓参数(例如,CD、侧壁角、材料高度等等)可以被调谐,使得比较结果更接近于或等于例如某一阈值。调谐和比较可以迭代直至满足或超越阈值为止。
[0238] 因此,在实施例中,调谐后的实体几何模型1530用于在1535处计算调谐后的实体几何模型的海森矩阵。海森矩阵1600接着用于在1610处产生合成光学信息(例如,一个或更多个光瞳表示)。合成光学信息是模拟光学信息。合成光学信息旨在模仿在所述图案化过程中的一个或更多个预期过程变化。在实施例中,关于所述图案化过程中的一个或更多个过程变化的数据1620可以结合海森矩阵1600而用以导出合成光学信息。在实施例中,合成光瞳I可以通过取代上述方程式(8)中的不同的重叠值o和不同参数变化Δp而产生,其中权重对应于 虽然上文描述的方程式(8)涉及单个重叠参数,但该技术也可以通过将那些贡献相加而扩展至更多重叠参数。此外,因为泰勒展开式中的较高阶被忽略,因此使用方程式(8)的技术是近似。例如,数据1620可以包括描述过程变化的种类和范围的信息(例如,重叠、CD等等可以改变一定百分比的指示)。可以通过在所述图案化过程中的测量(例如,重叠、CD等等的测量)来获得数据1620。因而,数据1620与海森矩阵1600一起用以产生包括预期过程变化的模拟光学信息1630。合成光学信息1630也可以包括与合成光学信息1630相关联的一个或更多个相关联的估计设定值。接着将合成光学信息1630(和任何相关联的设定值)输入至数据驱动技术1500以用于单独或结合所测量的光学信息进行分析,从而使用数据驱动技术找出新权重。
[0239] 图17描绘了结合实体几何模型的数据驱动技术的另一个实施例的高阶流程。这种实施例类似于图16的实施例,除了以下情形之外:代替计算海森矩阵,对用于每个过程变化的非线性求解器(例如,麦克斯韦求解器)进行前向调用以获得合成光学信息。
[0240] 因此,在实施例中,在1500处,执行数据驱动技术(其示例在上文描述)以导出如上文论述的权重。所述计算使用若干输入。输入之一是用于具有所关注的单位单元的实体实例的目标的设定得到的过程的设定值1510。如上文提及的,可以跨越一个或更多个衬底来测量目标的多个实例,其中所述目标的一个或更多个实例相比于所述目标的一个或更多个其它实例具有图案化过程参数的故意设定值的不同值。另一输入是用于不同的设定值处的目标的那些实例的所测量的光学信息1505。在实施例中,光学信息1505是多个光瞳表示,每个光瞳表示对应于目标的实例。接着,在数据驱动技术中处理输入1505和1510以获得权重1515。
[0241] 将权重1515输入至过程1520以使用所述权重1515微调实体几何模型。过程1520获得用于单位单元的实体轮廓1525(过程1520使用所述实体轮廓以导出实体轮廓模型)或用于单位单元的实体轮廓模型1525(过程1520使用所述实体轮廓模型)。在实施例中,实体轮廓是如上文论述的单位单元的被导出的名义轮廓和/或被导出的名义轮廓模型。
[0242] 过程1520使用实体几何模型以导出对应于权重1515的权重。接着将那些权重与权重1515进行比较。所述比较可以涉及量值的匹配、统计分析、拟合评估等等。如果存在显著差异(例如,通过评估相对于阈值的所述比较),则可以调谐实体轮廓的一个或更多个参数。例如,一个或更多个实体轮廓参数(例如,重叠、CD、侧壁角等等)可以被调谐,使得比较结果更接近于或等于例如某一阈值。调谐和比较可以迭代直至满足或超越阈值为止。
[0243] 因此,在实施例中,调谐后的实体几何模型1700用以在1720处计算类似于如上文论述的合成光学信息。如同上文论述的,关于图案化过程中的一个或更多个过程变化的数据1710可以与调谐后的实体几何模型1700结合用以导出合成光学信息。例如,数据1710可以包括描述过程变化的种类和范围的信息(例如,重叠、CD等等可以改变一定百分比的指示)。可以通过图案化过程中的测量(例如,重叠、CD等等的测量)获得数据1710。如上文提及的,1720处的过程可以使用对用于过程变化的非线性求解器(例如,麦克斯韦求解器)的前向调用以获得合成光学信息。因此,数据1710与调谐后的实体几何模型1700一起用以产生包括预期过程变化的模拟光学信息1730。合成光学信息1730也可以包括与合成光学信息1730相关联的一个或更多个相关联估计的设定值。接着将合成光学信息1730(和任何相关联的设定值)输入至数据驱动技术1500以单独或结合测量的光学信息进行分析,从而使用数据驱动技术找出新权重。
[0244] 在图10A至图10C中,呈现了单位单元的相对简单的示例,其中在基本上仅一个方向上的重叠造成单位单元的对称性改变。具体地,在图10A至图10C的单位单元中,在X方向上的重叠改变引起所述单位单元的对称性/不对称性改变,而在Y方向上的重叠改变并不引起所述单位单元的对称性改变。这是由于图10A至图10C的单位单元具有两个结构1000、1005,所述两个结构1000、1005以特定几何方式配置使得在基本上仅一个方向上的重叠造成所述单位单元的对称性改变。当然,这种单位单元可以这种方式通过结构的适当选择来设计。然而,可能存在以下情况:可以识别出现有结构(诸如器件结构)具有特定几何形状,使得在基本上仅一个方向上的重叠造成单位单元的对称性改变。因此,各个单位单元可以被选择或设计用于使得能够确定在基本上仅一个方向上的重叠(其无需在X方向上)。
[0245] 然而,有利地,可以识别或设计如下单位单元:该单位单元被配置为使得针对两个或更多个不同的重叠而导致单位单元的对称性改变。在实施例中,不同的重叠可以在不同方向上。具体地,在实施例中,第一重叠可以在X方向上,而第二重叠可以在Y方向上。在实施例中,不同的重叠可以各自在单位单元的结构或部分的不同组合之间。在实施例中,那些结构可以处于目标的同一层中和/或不同层中。具体地,在实施例中,第一重叠可以在单位单元的第一结构与第二结构之间,并且第二重叠可以在单位单元的第一结构(或第二结构)与第三结构之间或在单位单元的第三结构与第四结构之间。这种情况下,第一重叠和第二重叠可以在同一方向上。自然地,可能存在在不同方向上的不同的重叠与来自单位单元的结构的组合的不同的重叠的组合。例如,第一重叠可以针对第一层中的第一结构和下部的第二层中的第二结构而在X方向上,并且第二重叠可以针对第一层中的第一结构和低于第二层的第三层中的第三结构而在Y方向上。因此,可以经过对单位单元(并且因此目标)的适当识别或设计而确定重叠的许多组合。
[0246] 此外,如应了解的,在X方向和Y方向上的重叠的确定可以使得能够经过适当组合来确定总重叠(在X和Y上)。类似地,为了使得能够确定用于多个不同结构(在所述不同结构之间可能发生重叠)的总重叠,需要确定用于那些结构中的每个结构的重叠。因此,作为示例,对于在4个层(在所述层之间可能发生重叠)(其中所述层之一是参考层)中具有4个不同结构的单位单元,则可以确定6个重叠(针对每个层的X和Y)以使得能够确定所述单位单元的总重叠。当然,可以根据需要确定子组合以获得在4个层之中的一个或更多个不同的所关注的重叠。
[0247] 图18描绘了目标的多重重叠单位单元的示例实施例。如同图10A至图10C的单位单元,这种单位单元包括第一结构1000和第二结构1005。另外,这种单位单元具有第三结构1800,在这种实施例中所述第三结构在Z方向上位于在第一结构1000与第二结构1005上方的层中。这种实施例中,这种单位单元的不对称性可以由一个或更多个不同的重叠产生。例如,在X方向上在结构1005与结构1800之间的相对移位可以得到在X方向上的造成不对称性的重叠。作为另一示例,在Y方向上在结构1005与结构1000之间的相对移位可以得到在Y方向上的造成不对称性的重叠。作为另一示例,在Y方向上在结构1000与结构1800之间的相对移位可以得到在Y方向上的造成不对称性的另一重叠。
[0248] 图19描绘了目标的多重重叠单位单元的另一示例实施例。如同图10A至图10C的单位单元,这种单位单元包括第一结构1000和第二结构1005。另外,如同图18的单位单元,这种单位单元具有第三结构1800,在这种实施例中所述第三结构在Z方向上位于第一结构1000与第二结构1005上方的层中。另外,这种单位单元具有第四结构1900,在这种实施例中所述第四结构在Z方向上位于第一结构1000、第二结构1005和第三结构1800上方的层中。如同图18的单位单元,这种实施例中,这种单位单元的不对称性可以由一个或更多个不同的重叠产生。例如,在X方向上在结构1005与结构1800之间的相对移位可以得到在X方向上的造成不对称性的重叠。作为另一示例,在X方向上在结构1005与结构1900之间的相对移位可以得到在X方向上的造成不对称性的重叠。作为另一示例,在Y方向上在结构1005与结构
1000之间的相对移位可以得到在Y方向上的造成不对称性的重叠。作为另一示例,在Y方向上在结构1000与结构1800之间的相对移位可以得到在Y方向上的造成不对称性的另一重叠。
[0249] 因而,在实施例中,图18或图19的单位单元的被照射的实体实例的测量将得到在实际上存在多个不同的重叠的情况下可能包括多个不同的重叠的光学信息。例如,参考图18,如果图18的单位单元的对称性表示零重叠且存在结构1005相对于其上覆结构从其零重叠位置在X和Y上的移位(例如,在非0度、90度、180度或270度方向上的移位),则所述移位将会由于在结构1005与结构1800之间在X方向上的相对移位和在结构1005与结构1000之间在Y方向上的相对移位而导致不对称性。因此,将需要确定关于结构1005在X方向和Y方向上的重叠两者(所述组合将得到结构1005的总重叠)。
[0250] 如下文中论述的,呈现了可以从光学特性值与确定用于与单位单元的实体实例的第二重叠分开地确定单位单元的实体实例的第一重叠的值的技术,所述第二重叠也可以从所述相同光学特性值获得,其中所述第一重叠是在与第二重叠不同的方向上(例如,X方向重叠和Y方向重叠)或在与第二重叠不同的所述单位单元的部分的组合之间(例如,结构1005与结构1800之间的第一重叠,以及结构1005与结构1000之间的第二重叠或结构1000与结构1800之间的第二重叠,其中所述第一重叠和所述第二重叠可能在同一方向上)。
[0251] 即,在实施例中,确定权重以将在光学特性值中的第一重叠信息与同一光学特性值中的第二(或更多)重叠信息解耦。因此,在实施例中,通过应用专门选定的权重,则权重与光学特性值的组合将得到与相同光学特性值中的其它可能重叠信息区别的所关注的特定重叠。实际上,权重将以所关注的重叠为特征且减少一个或更多个其它重叠。当然,可以针对每个所关注的重叠构造权重的不同集合,使得光学特性值可以被处理以得到用于不同的所关注的重叠中的每个重叠的不同值。
[0252] 将相对于图20的曲线图描述这种技术。图20的曲线图呈现该技术的图形呈现但实际上所述曲线图无需构造为可以在数学上进行所有处理而无需产生所述曲线图。另外,相对于图11的模型描述了所述技术。但是,可以使用本文中相对于其它图而描述的模型(和相关联的其它技术)。
[0253] 另外,依据从所述模型导出权重的线性版本呈现了这种示例。即,在实施例中,从雅可比矩阵(的摩尔-彭若斯伪逆)导出权重。
[0254] 因此,这种线性情况下,为了重构诸如在某一方向上的重叠之类的特定参数,可以对雅可比矩阵求逆。但是,所关注的参数的列与剩余列的相关程度确定了重构这种参数的容易程度。
[0255] 因此,在具有例如用于所关注的单位单元(例如,图18的单位单元)的名义轮廓模型的情况下,可以产生至少两个向量。第一重叠向量p1表示单位单元内的所关注的第一重叠(例如,X方向重叠),并且第二重叠向量p2表示所关注的第二重叠(例如,Y方向重叠)。如应了解的,为了额外的所关注的重叠而可以产生另外的向量。
[0256] 另外,对于两个重叠向量中的每个重叠向量,选择了与单位单元的实体实例的预期测量结果对应的光瞳表示的一个或更多个像素。在这种实施例中,针对每个重叠向量选择一对像素,其中每个对像素包括如先前描述的对称地定位的像素。理想地,所述一对像素选自如上文论述的光瞳表示的不对称辐射分布部分。
[0257] 现在,第一重叠向量p1对应于多对像素中的对于针对第一重叠向量的所关注的第一重叠改变(所有其它参数不变,即,所关注的第二重叠不改变)的响应(在这种情况下,该响应是介于形成一对的像素之间的不对称信号)。这种响应可以使用名义轮廓模型通过诱发所关注的第一重叠的改变(例如,1nm的改变)且接着计算该一对像素中的对所述改变的光学响应(例如,强度)而产生。
[0258] 类似地,第二重叠向量p2对应于多对像素中的对于针对第二重叠向量的所关注的第二重叠改变(所有其它参数不变,即,所关注的第一重叠不改变)的响应(在这种情况下,该响应是介于形成一对的像素之间的不对称信号)。这种响应可以使用名义轮廓模型通过诱发所关注的第二重叠的改变(例如,1nm的改变)且接着计算该一对像素中的光学响应(例如,强度)而产生。
[0259] 图20中用图表示得到的向量,其中水平轴线u对应于第一像素对的被对称地定位的像素之间的不对称强度(Ii-Ii'),并且竖直轴线v对应于第二像素对的被对称地定位的像素之间的不对称强度(Ii-Ii')。因此,图20示出两个高度地相关的向量p1和p2。
[0260] 因此,为了解耦并且分离所关注的第一重叠与第二重叠对于多个像素对的贡献,将向量p1背向投影至向量 上,所述向量 是与向量p2正交的向量,以形成向量p′1,并且所投影的向量p′1的长度由介于向量p1与向量 之间的角度θ1的余弦划分。此向量接着有助于将所关注的第一重叠与多个像素对(以及(扩展地)在光瞳表示中的其它像素对)的强度隔离开。
[0261] 另外或替代地,将向量p2背向投影至向量 上,所述向量 是与向量p1正交的向量,以形成向量p′2,并且所投影的向量p′2的长度由介于向量p2与向量 之间的角度θ2的余弦划分。此向量接着有助于将所关注的第二重叠与多个像素对(和(扩展地)在光瞳表示中的其它像素对)的强度隔离开。
[0262] 因此,返回参考方程式(3)和(4),Si表示一对像素的被对称地定位的像素之间的不对称强度(Ii-Ii')。因此,第一重叠向量p1可以对应于在具有为U0的Si的第一像素对中的、以及在具有为V0的Si的第二像素对中的对所关注的第一重叠改变的响应。类似地,第二重叠向量p2可以对应于在那些第一像素对和第二像素对中对所关注的第二重叠的改变的响应。因此,可以构造向量p′1和/或向量p′2;这里出于解释性目的而构造两个向量。依据与对应于U0的第一像素对相对应的强度u、且依据与对应于V0的第二像素对相对应的强度v,限定了向量p′1和向量p′2。因此,向量p′1和向量p′2可以被指定为:
[0263] p′1=(u′1,v′1)                                            (12)[0264] p′2=(u′2,v′2)                                            (13)[0265] 因此,现在在上文和参考方程式(4)所描述的线性情境下,可以接着基于U0、V0和向量p′1和p′2来将所关注的第一重叠的重叠值限定如下:
[0266]
[0267] 另外或替代地,接着可以基于U0、V0和向量p′1和p′2来将所关注的第二重叠的重叠值限定如下:
[0268]
[0269] 因此,根据方程式(14),以下是分别针对U0和V0的用以确定所关注的第一重叠的权重:
[0270]
[0271] 另外,根据方程式(15),以下是分别针对U0和V0的用以确定所关注的第二重叠的权重:
[0272]
[0273] 因此,如应了解的,可以针对光瞳表示中的所有或基本上所有像素对来重复这种操作,以便获得针对所关注的第一重叠 的一组权重wi和/或获得针对所关注的第二重叠 的一组权重wi。可以接着根据方程式(4)将这些权重中的一个或两者应用于所测量的光学特性值以获得用于相应的所关注的重叠的重叠值。当然,可以评估一个或更多个另外的所关注的重叠,且针对它们确定一个或更多个适当的权重集合。如应了解的,在实施例中,使在针对特定所关注的重叠的权重定义中包括对所关注的所有不同的重叠的灵敏度(例如,雅可比矩阵)。
[0274] 因此,例如对于具有4个层(所述层中的一个为参考层)的单位单元,其中所述层中的每个层在X方向和Y方向上的移位可能引起对称性的改变(例如,引起不对称性,或引起另一不对称性,或引起不对称单位单元变得对称),则可以产生6个向量(每个与不同像素对相关联),6个向量包括针对所述层中的每个层的X方向重叠向量和针对所述层中的每个层的Y方向重叠向量。因而可能存在权重的6个集合以导出相应的重叠。当然,如果所述向量中的一个向量不是所关注的,则无需导出全部权重集合(但在实施例中,对所关注的所有不同的重叠的灵敏度(例如,雅可比矩阵)被包括于针对所关注的特定重叠的权重定义中)。可以接着由这些重叠中的两个或更多个的适当数学组合来确定任何其它重叠。
[0275] 如应了解的,单位单元中的层的一些移位将不会造成对称性改变,且因此,无法从所述单位单元确定对应于该移位的重叠。因此,显然,针对这种移位将不会限定向量。因此,将图18视为示例,可以针对所述单位单元限定三个向量,一个向量针对X方向重叠且两个向量针对不同的Y方向重叠。因此,可以确定当与所测量的光学特性值组合时将给出在X方向上的重叠的一个权重集合。或者,可以确定当与所测量的光学特性值组合时将给出在Y方向上的重叠中的一个重叠的权重集合,和/或可以确定当与测量的光学特性值组合时将给出在Y方向上的重叠中的另一个重叠的权重集合。当然,可以确定全部三个权重集合或可以仅仅确定两个权重集合。
[0276] 以上的论述已聚焦于由对称单位单元的一个或更多个实例所形成的目标,所述对称单位单元由器件的结构组成。这种目标可以使得能够经过由产品上的目标进行重引导后的辐射的产品上测量,来确定图案化过程参数的产品上的值。然而,如以上描述的,目标无需仅由器件结构组成。换句话说,可以提供结构并不独占地包括器件结构的非产品目标。例如,在实施例中,目标可以是并不用以形成器件而是仅仅用于进行测量的专门产生的结构。可以例如在远离器件的划线中提供这种目标(且因而在远离器件图案的器件图案化图案的一部分中提供这种目标)。在实施例中,目标可以被设置于器件图案中(且因而,设置于图案形成装置图案的器件图案的特征之中)。在适当时,非产品目标可以包括一个或更多个器件结构和不用以形成器件而是仅仅用于测量的一个或更多个专门产生的结构。
[0277] 如果例如针对无法呈现对称单位单元实例的器件图案来确定图案化过程参数,则非产品目标可以是有用的。作为另一示例,如果例如针对不具有如以上描述的对称单位单元的器件图案的一部分来确定图案化过程参数(所述器件图案可以给出该图案化过程参数的量度),则非产品目标可以是有用的。例如,可能存在如下情况:需要使用上文描述的对称单位单元方法来确定用于蚀刻后重叠的结构,但所述结构不具有对称性。例如,逻辑电路或结构具有各自能够引入可能破坏所述结构的对称性的不同的重叠分量的许多过程层/步骤。在例如逻辑电路的情况下,典型地由于不具有逻辑电路结构的对称单位单元,而无法执行对器件图案的测量。
[0278] 作为另一示例,非产品目标可以与可以呈现对称单位单元实例的器件图案相关联地使用(且即使单位单元可以给出所有所关注的图案化过程参数的量度也如这种)。这可以是例如如下情况:如果器件图案复杂,则可能需要相当大的计算时间。另外,器件图案可以呈现关于不关注的图案化过程参数的信号的潜在串扰。作为示例,不同的重叠分量的光瞳相关性可能太大以致于不可能将不同的重叠误差分离。
[0279] 因而,非产品目标可以与具有用于束斑的对称单位单元的实例的器件图案一起使用,或与无法呈现用于所述束斑的对称单位的实例的器件图案一起使用。2017年2月28日提交的美国专利申请号15/445,612中详细描述了非产品目标的配置、设计、测量和使用的细节,所述美国专利申请的全文是以引用方式并入本发明中。
[0280] 目标的测量精度和/或灵敏度(无论是产品目标或不是产品目标,且不管所述目标的对称性是否如本发明中论述的通过由某一参数(诸如重叠)表示的某一物理现象破坏)可以相对于目标自身的一个或更多个属性和/或提供至目标上的测量辐射的一个或更多个属性而变化,测量辐射的所述一个或更多个属性例如辐射的波长、辐射的偏振、辐射的强度分布(即角强度分布或空间强度分布)、和/或测量辐射的主射线的入射角。在实施例中,将辐射的波长范围限制在从一范围选择的(例如,从约400nm至900nm的范围选择的)一个或更多个波长。另外,可以提供对辐射束的不同偏振的选择(例如,TE偏振辐射、TM偏振辐射、竖直线性偏振、水平线性偏振,等等),并且可以使用例如多个不同的孔来提供各种照射分布和/或角度。
[0281] 因此,为了能够实现这种选择和测量,可以使用一种量测选配方案,该量测选配方案使用量测系统指定了测量的一个或更多个参数。在实施例中,术语“量测选配方案”包括测量自身的一个或更多个参数、所测量的目标的图案的一个或更多个参数,或这两者。
[0282] 这种情境下,所测量的目标(也被称作“目标结构”)的图案可以是在光学上测量的(例如其衍射被测量)的图案。所测量的目标图案可以是出于测量目的而被专门设计或选择的图案(诸如非产品目标)。可以将目标的多个复本放置在跨越衬底的许多地点(例如在跨越衬底的多个管芯内或附近)。
[0283] 在实施例中,如果量测选配方案包括测量自身的一个或更多个参数,则测量自身的所述一个或更多个参数可以包括与测量束和/或用以进行测量的测量设备相关的一个或更多个参数。例如,如果在量测选配方案中使用的测量是基于衍射的光学测量,则测量自身的一个或更多个参数可以包括:测量辐射的波长;和/或测量辐射的偏振;和/或测量辐射强度分布;和/或测量辐射相对于衬底的照射角度(例如,入射角、方位角等等);和/或相对于衍射后的测量辐射在衬底上的图案的相对方向;和/或目标的测量点或实例的数目;和/或所测量的目标的实例在衬底上的部位。测量自身的一个或更多个参数可以包括在测量中使用的量测设备的一个或更多个参数,所述参数可以包括检测器灵敏度、数值孔径等。
[0284] 在实施例中,如果量测选配方案包括所测量的图案的一个或更多个参数,则所测量的图案的一个或更多个参数可以包括:一个或更多个几何特性(诸如图案的至少一部分的形状,和/或图案的至少一部分的取向,和/或图案的至少一部分的节距(例如,周期性结构的节距,包括了在下部周期性结构的层上方的层中的上部周期性结构的节距和/或下部周期性结构的节距),和/或图案的至少一部分的大小(例如,CD)(例如,周期性结构的特征的CD,包括上部周期性结构和/或下部周期性结构的特征的CD),和/或图案的特征的分段(例如,将周期性结构的特征划分成诸多子结构),和/或周期性结构的长度或周期性结构的特征的长度);和/或图案的至少一部分的材料属性(例如,折射率、消光系数、材料类型等等);和/或图案识别(例如,区分图案与另一图案)等等。
[0285] 量测选配方案可以用如(r1,r2,r3,…rn;t1,t2,t3,…tm)的形式表达,其中ri是测量的一个或更多个参数,且tj是所测量的一个或更多个图案的一个或更多个参数。如应了解的。n和m可以是1。另外,量测选配方案无需具有测量的一个或更多个参数和所测量的一个或更多个图案的一个或更多个参数两者;其可以仅具有测量的一个或更多个参数,或仅具有所测量的一个或更多个图案的一个或更多个参数。
[0286] 可以使用两个量测选配方案A和B使目标经受测量,所述两个量测选配方案例如在对目标进行测量所处的阶段方面不同(例如,A在目标包括潜像结构时测量目标,并且B在目标不包括潜像结构时测量目标),和/或在它们的测量参数方面不同。量测选配方案A和B可以至少在所测量的目标方面不同(例如,A测量第一目标且B测量不同的第二目标)。量测选配方案A和B可以在它们的测量的参数和所测量的目标的参数方面不同。量测选配方案A和B甚至可以不基于相同的测量技术。例如,选配方案A可以基于基于衍射的测量,并且选配方案B可以基于扫描电子显微镜(SEM)或原子力显微法(AFM)测量。
[0287] 如提及的,特定衬底将具有目标的多个实例。因此,在实施例中,典型地将存在一种用以选择衬底上的目标实例的子集以在衬底上进行测量,从而促进例如测量过程的吞吐量的取样方案。
[0288] 如上文论述的,可以从目标(诸如产品图案内的衍射目标或被专门设计以用于测量且与器件图案分离的衍射目标)的结构通过以下操作而确定一个或更多个所关注的参数(诸如CD和/或重叠):照射目标;使用物镜从结构收集重引导后的辐射;并且在物镜的傅立叶平面中检测由光瞳检测器重引导后的辐射。可以使用例如如本文中描述的推断方法来处理这种所测量的光瞳,所述推断方法从所述所测量的光瞳获得信号分量,所述信号分量与适当权重集合进行组合以得到例如重叠值。另外或替代地,诸如关于图9所描述的方法可以使用这种所测量的光瞳以确定例如CD。
[0289] 在实施例中,用以照射所述目标的辐射是线性偏振电磁辐射。线性偏振具有沿着传播方向被限于单个平面的电磁辐射的电场。在实施例中,在垂直于传播方向的第一方向上的第一类型的线性偏振在本文中出于方便起见被指定为“H”或水平线性偏振,并且在正交于第二方向且垂直于传播方向的第二方向上的第二类型的线性偏振在本文中出于方便起见被指定为“V”或竖直线性偏振。当然,辐射无需是竖直的或水平的。第一线性偏振可以是p偏振且第二线性偏振可以是s偏振。当然,可以利用其它名称来标注第一线性偏振和第二线性偏振。
[0290] 衍射结构(例如衍射光栅)和其它类似复杂的结构会改变照射辐射的偏振状态。因此,在实施例中,包括在研究中的结构的目标的光学属性可以由反射率矩阵加以表征,如下:
[0291]
[0292] 其中R是反射率且下标对应于适用的线性偏振。具体地,下标的第一指数是指从目标射出的辐射的偏振状态,并且下标的第二指数是指达到目标上的照射辐射的偏振状态。例如,RHV意味着对来自V偏振照射的H偏振的反射率。这种矩阵的每个元素取决于波长,和极性和入射方位角。当然,结构可以通过以s和p偏振为基础或其它偏振为基础的反射率矩阵来表征。另外,虽然在本文中关于反射率来描述实施例,但可以使用除反射率之外的不同或额外的光学特性,所述不同或额外的光学特性可以在不同照射偏振和射出偏振的情况下在类似方面来确定。另外,虽然本文中关于两种不同类型的线性偏振来描述实施例,但可以使用多于两种类型的线性偏振。
[0293] 在量测设备中,由检测器可观测到的数量可以是总反射强度。即,检测并非是偏振敏感的。因此,如果照射被H偏振,则检测到的强度与以下成正比:
[0294] |RHH|2+|RVH|2   (19)
[0295] 且如果照射被V偏振,则检测到的强度与以下成比例:
[0296] |RVV|2+|RHV|2   (20)
[0297] 然而,预期到不同的偏振通道(即反射率矩阵的不同元素)承载关于诸如CD和/或重叠之类的所关注的参数的不同信息。因此,在实施例中,有利的是检测从目标射出的H线性偏振和V线性偏振辐射,以例如分离地对更多轮廓参数进行解相关(例如作为推断方法的部分)且提高对它们的灵敏度。因而,在实施例中,与选自RHV、RVH、RVV和RHV中的至少两个相关联的值的组合(或如上文描述的额外的或不同的光学特性)用于导出所关注的参数(例如,重叠、CD等等)。如应了解的,可以使用所述组合以导出所关注的参数的单个值。在实施例中,将对应于RHH、RVH、RVV和RHV的值一起使用以导出所关注的参数。在替代实施例中,仅使用对应于RVH和RHV的值以导出所关注的参数。
[0298] 因此,图21示意性地描绘用以使得能够单独检测从目标射出的H和V偏振的根据实施例的示例量测设备。这种实施例类似于图7的量测,但具有一些改变。特别地,在实施例中,量测设备包括在光瞳传感器190前面的交叉偏振元件192,所述交叉偏振元件用以将辐射的射出偏振状态分离成具有第一线性偏振的第一部分196和具有不同的第二线性偏振的第二部分198。这意味着通过将水平线性偏振照射辐射施加至目标并且分开地将竖直线性偏振照射辐射施加至同一目标,来测量分别与RHH、RVH、RVV和RHV相关联的值(诸如|RHH|2、|RVH|2、|RVV|2和|RHV|2)的能力。因而,对于H偏振,交叉偏振元件192使得能够分开地测量射出V和H偏振,以分别获得|RVH|2和|RHH|2。类似地,对于V偏振,交叉偏振元件192使得能够分开地测量射出V和H偏振,以分别获得|RVV|2和|RHV|2。
[0299] 在实施例中,可以将不同的偏振交替地提供至目标。例如,在实施例中,源110可以在时间上交替地提供H和V偏振。在实施例中,源110与目标之间的光学路径中的偏振元件可以用于在时间上交替地提供H和V偏振(例如,使用如图9中描绘的偏振器170且其可以类似地设置在图7和图21中的源110与物镜160之间)。
[0300] 在实施例中,可以并行提供多个照射斑,那些照射斑中的一个或更多个具有H偏振且那些照射中的一个或更多个其它照射具有V偏振。因此,在具有两个照射斑,其中一个斑具有V偏振且另一斑具有H偏振的实施例中,交叉偏振元件192可以分开地分裂来自所述斑中的每个斑的偏振,以测量4组线性偏振,这4组线性偏振是:来自用于V照射的目标的V偏振射出辐射、来自用于V照射的目标的H偏振射出辐射、来自用于H照射的目标的V偏振射出辐射,和来自用于H照射的目标的H偏振射出辐射。
[0301] 在实施例中,交叉偏振元件192可以按不同方式布置。例如,所述交叉偏振元件可以呈偏振分束器型布置,其中特定线性偏振在第一方向上传递通过分束表面而在所述第一方向上朝向一个传感器190,并且正交的线性偏振在实质上正交于第二方向的第二方向上从所述分束表面反射至所述第二方向上的另一传感器190。其它布置是可能的,包括其它束引导部件。
[0302] 然而,单独的交叉偏振检测对于反射率系数的相位并不敏感,这是因为仅测量它们的绝对值。为了能够测量至少一些相对相位,将延迟器194(例如四分之一波片)定位在交叉偏振元件192的前面。在这种延迟器194和交叉偏振元件192配置中,用于目标的H偏振照射的两个输出强度通道是:
[0303]
[0304] 且对于目标的V偏振照射是:
[0305]
[0306] 干涉项承载关于反射率矩阵的对角线通道与非对角线通道之间的相对相位的信息。因此,延迟器194和交叉偏振元件192配置可以在利用典型地仅在扁桃体状区域(例如区域1075和1080)中发现的相对较强信号的本文中所描述的量测技术(例如基于与加权相组合的来自光瞳的信号分量的重叠推断方法)中尤其有用,这是因为相比于不具有延迟器194(即具有交叉偏振元件192但不具有延迟器194)的配置,所述延迟器194和交叉偏振元件192配置跨越光瞳的相对较大区域来散布所关注的参数(例如重叠)信号的能量。
[0307] 如上文描述,辐射的检测到的光瞳表示中的不对称性可以用于获得关于目标结构中的对应几何不对称性的信息。可以获得用于形成目标结构的图案化过程的所关注的参数的值。在实施例中,所关注的参数包括结构中的不同层之间的重叠误差。与目标结构无关的对于所检测到的光瞳表示的不对称性的贡献可能会降低所关注的参数的值的测量的精度。所述贡献可由于用于获得检测光瞳表示的在所述量测设备中的缺陷而产生,并且可以被称作工具诱发移位(TIS)。TIS可以由量测设备的光源中的角度不均匀性、量测设备的光学元件的未对准和/或不对称性,和/或传感器不对称性中的一个或更多个引起。在一些情况下,与目标结构无关的对于不对称性的贡献可能大于来自所关注的参数对于不对称性的贡献几个数量级。与目标结构无关的对于不对称性的贡献可以通过将目标结构旋转180度且重复进行测量而减小,但这花费大量时间且降低吞吐量。
[0308] 目标结构中的几何不对称性(例如重叠)对仅存在于由目标结构重引导的辐射的偏振分量中的所检测到的光瞳表示的不对称性作出贡献,所述偏振分量相对于入射至目标结构上的辐射的偏振是交叉的。由于偏振分量的互反性或相互作用要求而产生这种效果。相比之下,与目标结构无关的对于所检测到的光瞳表示的不对称性的贡献将存在于重引导后的辐射的交叉偏振和共偏振分量两者中。本发明人已认识到,关于与目标结构无关的对于不对称性的贡献的信息可以从重引导后的辐射的共偏振分量获得,与来自目标结构对于不对称性的任何贡献隔离。共偏振分量因而可以用于改善精度,可以在所述精度下从交叉偏振分量获得所关注的参数的值。特别地,共偏振分量可以用于至少部分地识别在交叉偏振分量中并不由于所关注的参数产生的对于不对称性的贡献。下文描述的实施例基于这种理解且包括使用从来自目标结构的重引导后的辐射的不同偏振分量导出的信息以更高效地确定所关注的参数的值的方法。
[0309] 在实施例中,提供确定图案化过程的所关注的参数的值的方法。在实施例中,所关注的参数与由图案化过程形成的目标结构中的几何不对称性相关。在实施例中,所关注的参数包括目标结构中的不同层之间的重叠误差。
[0310] 所述方法包括获得辐射的检测到的第一表示与第二表示。所述辐射由通过目标结构偏振的入射辐射的重引导(例如反射)提供。在实施例中,辐射的所检测到的第一表示与第二表示是辐射的检测到的光瞳表示。如上文描述,光瞳图像是光瞳表示的示例。所述光瞳图像是依据通过目标结构重引导的辐射的光瞳的光学特性值(例如强度和/或相位)而指定的图像。因而,检测到的第一表示与第二表示各自可以由依据在具有光学特性值的光瞳内的位置的变化来表征。分别从所述重引导后的辐射的第一偏振分量与第二偏振分量导出所检测到的所述第一表示与第二表示。
[0311] 在实施例中,使用诸如上文参考图21描述的量测设备之类的量测设备100执行所述方法。量测设备100利用偏振后的入射辐射照射目标结构,所述目标结构可以设置于衬底W上。量测设备100包括在光瞳传感器190之前的交叉偏振元件192。交叉偏振元件192是将重引导后的辐射分离成主要由第一偏振分量形成的第一辐射束和主要由第二偏振分量形成的第二辐射束的依赖于偏振的束划分装置的示例。由光瞳传感器190彼此独立地检测被分离的第一偏振分量与第二偏振分量。在其它实施例中,可以由两个不同传感器检测第一偏振分量与第二偏振分量。在所描述的特定示例中,第一偏振分量与第二偏振分量彼此正交。在实施例中,第一偏振分量与第二偏振分量是线性偏振分量且可以分别被称作H和V偏振。
在实施例中,第一偏振分量与第二偏振分量可以是左圆偏振和右圆偏振。在实施例中,第一偏振分量与第二偏振分量可以是线性s偏振和p偏振。这种情况下,量测设备100可以被配置成利用V偏振辐射来照射目标结构且独立地检测经H偏振的重引导后的辐射(第一偏振分量)和V偏振辐射(第二偏振分量)两者。这种情况下,第一偏振分量由相对于入射辐射而言交叉偏振的辐射组成,且第二偏振分量由相对于入射辐射而言共偏振的辐射组成。光瞳传感器190定位在光瞳平面中且因此提供检测到的光瞳表示(针对第一偏振分量与第二偏振分量中的每个单独地形成)。
[0312] 在实施例中,所检测到的第一表示的不对称性包括来自所关注的参数(例如重叠)的贡献和来自不对称性的一个或更多个其它来源的贡献(例如与目标结构无关,诸如TIS)。在实施例中,检测到的第一表示的不对称性包括根据需要在光瞳平面中的包括强度和/或相位的光学特性值的不对称性。不对称性可以是相对于光瞳平面中的对称点或对称镜像线。
[0313] 在实施例中,所检测到的第二表示的不对称性包括:相比于检测到的第一表示的不对称性,相对于来自所关注的参数的贡献更大的来自不对称性的一个或更多个其它来源的贡献。在实施例中,所检测到的第二表示的不对称性实质上不包括来自所关注的参数的贡献。这例如将为以下情况:所关注的参数涉及目标结构中的几何不对称性(诸如重叠)且所检测到的第二表示相对于入射辐射是共偏振的。在实施例中,所检测到的第二表示的不对称性包括根据需要在光瞳平面中的包括强度和/或相位的光学特性值的不对称性。不对称性可以相对于光瞳平面中的对称点或对称镜像线。
[0314] 在实施例中,第一偏振分量与第二偏振分量彼此正交。在实施例中,第一偏振与入射辐射的偏振正交。在第一偏振分量与第二偏振分量彼此正交且第一偏振与入射辐射的偏振正交的实施例中,第一偏振将包括来自所关注的参数对于不对称性的最大贡献且第二偏振将不包含来自所关注的参数对于不对称性的贡献。
[0315] 在实施例中,所述方法还包括使用所检测到的第一表示与第二表示的组合以确定所关注的参数的值。在实施例中,所检测到的第二表示用于至少部分地识别所检测到的第一表示中所观测到的与目标结构无关的且因此与所关注的参数无关的(与所关注的参数不相关)对于不对称性的贡献。所检测到的第二表示因而可以用于减小或移除所检测到的第一表示中来自除所关注的参数之外的来源对于不对称性的贡献。因而可以更准确地或更高效地获得所关注的参数(例如使用较不繁重的计算机建模)。可以实时应用所述方法。实现了精度的改善而不必在测量之间使目标结构在物理上旋转180度,由此改善了吞吐量。下文参考图22至图27给出所关注的参数的确定的另外的示例性细节。
[0316] 图22和图23示意性地描绘了所检测到的光瞳表示400。图22描绘了由相对于入射在目标结构上的辐射共偏振的辐射形成的所检测到的光瞳表示(上文论述的检测到的第二表示的示例)。图23描绘了由相对于入射在目标结构上的辐射交叉偏振的辐射形成的所检测到的光瞳表示(上文论述的检测到的第一表示的示例)。在所检测到的光瞳表示的左下方和右上方中分别由空心圆和实心圆标示所检测到的光瞳表示中的点对称的点对。所述对中的两个点之间的所检测到的光学特性(例如强度或相位)之差提供了每个光瞳表示中的不对称性的量度。但应理解,参考单个点对,在这种示例中,并且在其它示例中,可以实际上使用多于一个点对来获得关于不对称性和目标结构的更详细的信息。
[0317] 在共偏振辐射的情况下,预期诸如强度或相位之类的光学特性依据在光瞳平面中的整个测量区域内的位置而明显地发生改变且因此为了清楚起见而没有表示在图22中。在交叉偏振辐射的情况下,通常主要在周边凸起部区域402中发现大多数与不对称性相关的光学特性的变化,所述变化的示意性示例被标注在图23中。用于确定不对称性的所述对点各自位于示意性凸起部区域402中。所检测到的光瞳表示的圆形轮廓和凸起部区域402的简化形状是高度地示意性的和示例性的。
[0318] 图22和图23描绘了除来自所关注的参数的贡献之外不存在对于不对称性的贡献的理想情况。这种理想情况下,在图22中所示的共偏振通道中在两个点处检测到的辐射的强度(分别是Ico和I′co)相同:I′co=Ico。图22的检测到的光瞳表示是完全对称的。在图23中所示的交叉偏振通道中在两个点处的辐射的强度(分别为Ix和I′x)是不同的,且提供了关于由所关注的参数引起的不对称性的信息。所关注的参数的值可以从差ΔI=I′x-Ix确定。
[0319] 图24和图25分别描绘了在由于来自除所关注的参数之外的来源的贡献(例如TIS)而存在不对称性的情况下与图22和图23相同的共偏振和交叉偏振通道。这种情况下,在图22中所示的共偏振通道中在两个点处检测到的辐射的强度不再相同。强度可以书写为Ico·(1+δ)和Ico·(1+δ′),其中δ和δ′与对应于来自除所关注的参数之外的来源的诱发不对称性的强度偏差成比例。这种类型的实施例中,在交叉偏振通道中的两个点处的辐射强度也受来自除所关注的参数之外的来源的实质上相同的诱发不对称性影响且可书写如下:Ix·(1+δ)和I′x·(1+δ′)。这是更一般的情况的示例,其中来自除所关注的参数之外的来源的对于不对称性的总体贡献对于检测到的第一表示和检测到的第二表示两者实质上相等。采用这些两个强度之间的差不再提供来自所关注的参数对于不对称性的贡献的直接量度。替代地,强度差由以下三个项给定:
[0320]
[0321] (I′x-Ix)表示由所关注的参数引起的不对称性。
[0322] 是不对称误差项。
[0323] 是对称误差项。
[0324] 在实施例中,所关注的参数的值的确定包括:处理检测到的第一表示,以通过使用从检测到的第二表示导出的信息来执行减法运算而减小或移除来自除所关注的参数之外的来源的对于不对称性的贡献。
[0325] 在实施例中,所关注的参数的值的确定包括以下步骤。在第一步骤中,确定第二表示中的不对称性。在第二步骤中,基于第二表示中所确定的不对称性而修改检测到的第一表示的至少一部分。在第三步骤中,确定修改后的检测到的第一表示中的不对称性。图26描绘在参考图24和图25所描述的特定示例的情境下可以如何实现这种情形。
[0326] 在第一步骤的示例中,通过计算误差参数ε和ε′来确定所述第二表示中的不对称性。在这种示例中,所述误差参数表示了图24中所描绘的共偏振通道的检测到的光瞳表示中的不对称性。误差参数可以书写如下:
[0327]
[0328]
[0329] 在第二步骤的示例中,基于计算出的误差参数ε和ε′修改所检测到的第一表示的一部分。在这种示例中,被调整的部分包括图25中所示的交叉偏振通道中的两个代表点。这些点的强度被调整如下,如图26中描绘的: 和
[0330] 在第三步骤的示例中,修改后的检测到的第一表示中的不对称性通过采用两个修改强度之间的差而确定。修改后的强度差提供仅包括两个项的表达式:
[0331]
[0332] 相比于采用图25的未被修改的强度之间的差,已经完全移除了不对称误差项(不对称误差项表示来自除所关注的参数之外的来源对于不对称性的贡献)。仅保留对称误差项: 因此相较于在如果检测到的第二光瞳表示并不用于移除来自除所关注的参数之外的来源的对于不对称性的贡献情况下将会出现的情况,可以更准确或更高效地获得所关注的参数。
[0333] 在替代实施例中,所关注的参数的值的确定包括由检测到的第二表示来划分所检测到的第一表示。图27描绘在参考图24和图25所描述的特定示例的情境下可以如何实现这种情形。
[0334] 在由检测到的第二表示划分所检测到的第一表示的示例中,图24中所示的共偏振通道中的两个点中的每个点处的强度分别由图25中所示交叉偏振通道中的两个对应点中的每个点处的强度划分如下:
[0335]
[0336]
[0337] 采用两个划分后的强度之间的差提供了已经移除不对称误差项和对称误差项两者的表达式:
[0338]
[0339] 上文参考图26描述的类型的实施例提供包括由于所关注的参数的不对称性和对称误差项而产生的输出。可以高效地处理包括由于所述对称误差项而产生的误差的这种输出。
[0340] 参考图27所描述的类型的实施例提供了包括由共偏振通道中的强度(Ico)划分的由于所关注的参数而产生的不对称性的输出。不存在对称误差项可以允许在较高的精度情况下获得所关注的参数。另一方面,共偏振通道中的强度(Ico)的存在可以提高计算机建模步骤的复杂度且因此使得输出的处理相比于参考图26所描述的类型的实施例较不高效。
[0341] 在另外的实施例中,检测到的第一表示和/或检测到的第二表示可以包括图像平面中的目标结构的所检测到的场图像。图28和图29描绘包括多个这样的场图像的示例检测通道。图28描绘了其中每个场图像511、512、521、522均由与入射辐射具有相同偏振的反射辐射产生的检测通道(即,共偏振通道)。图29描绘了其中每个场图像513、514、523、524均由具有与入射辐射的偏振正交的偏振的反射辐射产生的检测通道(即,交叉偏振通道)。这种特定示例中,每个场图像511、512、521、522、513、514、523、524包括四个正方形子图像,对应于上文参考图4和图5所描述的通用类型的目标结构。每个子图像与光栅方向与偏置的不同组合相对应。实施例也适用于其它形式的目标结构,这可以产生不同的形式的场图像。
[0342] 在实施例中,如图28中描绘的,所检测到的第二表示包括第一场图像511和第二场图像512。这种实施例中,第一场图像511和第二场图像512主要由零阶辐射形成。第一场图像511由穿过所述光瞳平面中的第一区域的辐射专门地形成。第二场图像512由穿过所述光瞳平面中的第二区域的辐射专门地形成。这种实施例中,所检测到的第二表示的不对称性包括第一场图像511与第二场图像512之间的差异。所述差异可以包括例如平均强度之差。
[0343] 如上文提及的,由于互反性,共偏振零阶反射辐射可以不承载来自所关注的参数(例如重叠)的贡献。共偏振零阶反射辐射的不对称性的测量因此可以用于提供来自其它来源的对于不对称性的贡献的量度。
[0344] 在实施例中,如图29中描绘的,所检测到的第一表示包括第三场图像513和第四场图像514。这种实施例中,第三场图像513和第四场图像514主要由零阶辐射形成。第三场图像513由穿过所述光瞳平面中的所述第一区域的辐射专门地形成。第四场图像514由穿过所述光瞳平面中的所述第二区域的辐射专门地形成。这种实施例中,所检测到的第一表示的不对称性包括第三场图像513与第四场图像514之间的差异。所述差异可以包括例如平均强度之差。在实施例中,第一区域与第二区域相对于彼此点对称和/或镜像对称。在实施例中,第一区域与第二区域具有相同大小。在实施例中,第一区域与第二区域是完全相反的象限。在每中情况下,场图像中的每个点处的强度由来自光瞳平面中的对应区中的多个点的贡献构成。场平面中的不对称性因此可能导致由来自光瞳平面中的不同区域的辐射专门地形成的场图像之间的差异,即使那些区域相对于彼此对称。
[0345] 如上文提及的,交叉偏振的零阶反射辐射可以承载来自所关注的参数(例如重叠)的贡献和来自不对称性的其它来源的贡献。
[0346] 因而,使用来自共偏振检测通道的第一场图像511与第二场图像512而确定的不对称性可以用于与使用来自交叉偏振检测通道的第三场图像513和第四场图像514而确定的不对称性相组合以确定所关注的参数的值。特别地,根据共偏振通道而确定的不对称性可以用于减小或移除来自除所关注的参数之外的来源对于交叉偏振通道中的不对称性的贡献,由此改善所关注的参数的值的测量的精度。来自除所关注的参数之外的来源(例如,来自用于执行测量的光学系统或传感器中的不对称性)对于不对称性的贡献对于共偏振通道和交叉偏振通道应相同或类似,由于穿过两个信道的光学路径相同。
[0347] 即使零阶辐射用于这些实施例中,也发现对所关注的参数的灵敏度是相对高的(例如与使用高于零阶的辐射情况下相当),因为交叉偏振通道自然地拒绝较大比例的背景信号。与有必要用以捕获高于零阶的反射辐射情况下将会可能的波长范围相比,使用零阶辐射也允许使用更宽的波长范围。这是由于高于零阶的反射角变得太大而无法捕获或高于零阶对于较大波长变为非传播的(渐消型)。作为示例,在目标结构具有300nm的节距的情况下,发现在典型的检测布置中,不可以使用长于约570nm的波长(2×节距×数值孔径),由于一阶衍射角变得太大而无法捕获。在使用零阶辐射的情况下,仍然可以使用长于570nm的波长。
[0348] 对于包括顶部光栅和底部光栅的典型的目标结构,当入射波长与光栅节距相当时,射出零阶包括来自从顶部光栅传播的+1(-1)阶和从底部光栅传播的-1(+1)阶的贡献。只要存在传播的阶,就在零阶辐射中维持对所关注的参数(例如重叠)的灵敏度。随着波长增大,灵敏度逐渐降低,而不是突然消失(就像高于零阶的情况将会的那样)。当入射波长比节距大得多时,关于所关注的参数(例如重叠)的信息主要存在于渐消型阶中。这种机制中,只要顶部光栅与底部光栅之间的间隔并没有变得太大(即,间隔仍比波长小得多),则对所关注的参数的灵敏度就被维持。
[0349] 也可以使用从零阶辐射的共偏振通道获得的来自除所关注的参数之外的来源对于不对称性的贡献的量度,以减小在检测到的高于零阶辐射中来自除所关注的参数之外的来源对于不对称性的贡献。即使穿过检测系统的高于零阶辐射的光学路径可以不同于零阶辐射的光学路径,这也是可能的。已发现,来自除所关注的参数之外的来源对于零阶辐射中的不对称性的贡献与来自除所关注的参数之外的来源对高于零阶辐射中的不对称性的贡献之间存在相关性。这种相关性足以允许从共偏振零阶辐射获得的来自除所关注的参数之外的来源的不对称性的量度至少用于减小存在于所检测到的高于零阶辐射中的背景不对称性,由此使用高于零阶辐射来改善所关注的参数(例如重叠)的测量的精度或可靠性。
[0350] 在实施例中,同时获得用于零阶和较高阶(例如一阶)辐射两者的目标结构的场图像,如图28和图29中描绘的。这种特定示例中中,针对共偏振通道获得了可以分别由源自光瞳平面中的不同区域的辐射所形成的一阶场图像521和522。共偏振通道的不对称性可以基于一阶场图像521与一阶场图像522之间的差异而确定。也针对交叉偏振通道获得了可以由源自光瞳平面中的不同区域的辐射所形成的一阶场图像523和524。交叉偏振通道的不对称性可以基于一阶场图像523与一阶场图像524之间的差异而确定。在这种情况下,两个通道可以提供关于所关注的参数(例如重叠)的信息。如上文描述的关于从共偏振零阶辐射获得的来自除所关注的参数之外的来源对于不对称性的贡献的信息可以用于减小或移除不对称背景信号。
[0351] 上文参考图22至29所描述的方法包括确定所关注的参数的值。基础方法可以被应用以清除包含关于图案化过程的所关注的参数的信息的信号(不必确定所关注的参数的值)。在实施例中,这种方法包括接收包括辐射的所检测到的第一表示与第二表示的输入信号。所述辐射由通过目标结构对偏振后的入射辐射的重引导提供。目标结构是使用所述图案化过程而形成的结构。分别从重引导后的辐射的第一偏振分量与第二偏振分量导出检测到的所述第一表示与第二表示。所检测到的所述第一表示的不对称性包括来自所述所关注的参数的贡献和来自不对称性的一个或更多个其它来源的贡献。相比于所检测到的所述第一表示的不对称性,所检测到的所述第二表示的不对称性包括相对于来自所述所关注的参数的贡献更大的来自不对称性的所述一个或更多个其它来源的贡献。所述方法还包括使用所检测到的第一表示与第二表示的组合来产生输出信号。输出信号的产生包括使用所检测到的第二表示以减小来自不对称性的所述一个或更多个其它来源对输出信号的贡献。
[0352] 参考图30,示出计算机系统3200。计算机系统3200包括用于通信信息的总线3202或其它通信机构,和与总线3202耦接以用于处理信息的处理器3204(或多个处理器3204和3205)。计算机系统3200也包括耦接至总线3202以用于存储将要由处理器3204执行的信息和指令的主存储器3206,诸如随机存取存储器(RAM)或其它动态存储装置。主存储器3206也可以用于在将要由处理器3204执行的指令的执行期间存储暂时性变量或其它中间信息。计算机系统3200进一步包括耦接至总线3202以用于存储用于处理器3204的静态信息和指令的只读存储器(ROM)3208或其它静态存储装置。提供诸如磁盘或光盘之类的存储装置3210,并且所述存储装置耦接至总线3202以用于存储信息和指令。
[0353] 计算机系统3200可以经由总线3202耦接至用于向计算机用户显示信息的显示器3212,诸如阴极射线管(CRT)或平板显示器或触控面板显示器。包括字母数字按键和其它按键的输入装置3214耦接至总线3202,以用于将信息和命令选择通信至处理器3204。另一类型的使用者输入装置为用于将方向信息和命令选择通信至处理器3204且用于控制显示器
3212上的光标移动的光标控制件3216,诸如鼠标、轨迹球或光标箭头键。这种输入装置典型地在两个轴线,第一轴线(例如,x)和第二轴线(例如,y)上具有两个自由度,允许器件指定平面中的位置。触控面板(屏幕)显示器也可以被用作输入装置。
[0354] 计算机系统3200可以适合于响应于处理器3204执行主存储器3206中包含的一个或更多个指令的一个或更多个序列而充当本发明中的处理单元。可以将这些指令从另一计算机可读介质(诸如存储装置3210)读取至主存储器3206中。主存储器3206中包含的指令序列的执行导致处理器3204执行本发明中描述的过程。也可以采用呈多处理布置的一个或更多个处理器以执行主存储器3206中所包含的指令序列。在替代实施例中,可以代替或结合软件指令而使用硬联机电路。因此,实施例不限于硬件电路与软件的任何特定组合。
[0355] 如本发明中使用的术语“计算机可读介质”是指参与将指令提供至处理器3204以供执行的任何介质。这种介质可以呈许多形式,包括但不限于非挥发性介质、挥发性介质和传输介质。非挥发性介质包括例如光盘或磁盘,诸如存储装置3210。挥发性介质包括易失存储器,诸如主存储器3206。传输介质包括同轴电缆、铜线和光纤,包括包含总线3202的电线。传输介质也可以采取声波或光波的形式,诸如在射频(RF)和红外线(IR)数据通信期间产生的声波或光波。计算机可读介质的常见形式包括例如软性磁盘、软磁盘、硬盘、磁带、任何其它磁性介质、CD-ROM、DVD、任何其它光学介质、打孔卡、纸带、具有孔图案的任何其它实体介质、RAM、PROM和EPROM、FLASH-EPROM、任何其它存储器芯片或卡匣、如下文描述的载波,或可以供计算机读取的任何其它介质。
[0356] 可以在将一个或更多个指令的一个或更多个序列承载至处理器3204以供执行时涉及各种形式的计算机可读介质。例如,初始地可以将所述指令承载于远程计算机的磁盘上。远程计算机可以将指令加载至其易失性存储器中,并且使用调制解调器经由电话线而发送指令。在计算机系统3200本地的调制解调器可以接收电话线上的数据,并且使用红外线传输器将数据转换成红外线信号。耦接至总线3202的红外线检测器可以接收红外线信号中所承载的数据,并且将所述数据放置在总线3202上。总线3202将数据承载至主存储器3206,处理器3204从所述主存储器获取并执行指令。由主存储器3206接收的指令可以可选地在供处理器3204执行之前或之后存储在存储装置3210上。
[0357] 计算机系统3200也可以包括耦接至总线3202的通信接口3218。通信接口3218提供对网络链路3220的双向数据通信耦合,所述网络链路连接至局域网络3222。例如,通信接口3218可以是综合业务数字网(ISDN)卡或调制解调器以提供对对应类型的电话线的数据通信连接。作为另一示例,通信接口3218可以是局域网络(LAN)卡以提供对可兼容LAN的数据通信连接。也可以实施无线链路。在任何这种实施中,通信接口3218发送且接收承载表示各种类型的信息的数字数据流的电信号、电磁信号或光学信号。
[0358] 网络链路3220典型地经由一个或更多个网络而向其它数据装置提供数据通信。例如,网络链路3220可以经由局域网络3222而向主计算机3224或向由因特网服务提供商(ISP)3226操作的数据装置提供连接。ISP 3226又经由全球封包数据通信网络(现在通常被称作“因特网”3228)而提供数据通信服务。局域网络3222和因特网3228两者都使用承载数字数据流的电信号、电磁信号或光学信号。经由各种网络的信号和在网络链路3220上且经由通信接口3218的信号(所述信号将数字数据承载至计算机系统3200和从计算机系统3200承载数字数据)为输送信息的示例性载波形式。
[0359] 计算机系统3200可以经由网络、网络链路3220和通信接口3218发送消息且接收包括程序代码的数据。在因特网示例中,服务器3230可以经由因特网3228、ISP 3226、局域网络3222和通信接口3218传输应用程序的请求的程序代码。根据一个或更多个实施例,一个这样的被下载的应用程序提供如例如本发明中公开的方法。所接收的程序代码可以在其被接收时由处理器3204执行,和/或存储在存储装置3210或其它非易失性存储器中以供稍后执行。以这种方式,计算机系统3200可以获得呈载波的形式的应用程序代码。
[0360] 本公开的实施例可以呈包含描述如本发明公开的方法的机器可读指令的一个或更多个序列的计算机程序或其中存储有这种计算机程序的数据存储介质(例如,半导体存储器、磁盘或光盘)的形式。此外,可以在两个或更多个计算机程序中体现机器可读指令。所述两个或更多个计算机程序可以存储在一个或更多个不同的存储器和/或数据存储介质上。
[0361] 本发明描述的任何控制器可以在一个或更多个计算机程序由位于光刻设备的至少一个部件内的一个或更多个计算机处理器读取时各自地或以组合的方式而可操作。控制器可以各自或组合地具有用于接收、处理和发送信号的任何合适的配置。一个或更多个处理器被配置成与所述控制器中的至少一个通信。例如,每个控制器可以包括用于执行包括用于上文描述的方法的机器可读指令的计算机程序的一个或更多个处理器。控制器可以包括用于存储这样的计算机程序的数据存储介质,和/或用以接纳这种介质的硬件。因此,所述控制器可以根据一个或更多个计算机程序的机器可读指令而操作。
[0362] 尽管在本发明中可特定地参考量测设备在IC制造中的使用,但应理解,本发明中描述的量测设备和过程可以具有其它应用,诸如制造集成光学系统、用于磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等等。本领域技术人员应了解,在这些替代应用的情境下,可以认为本发明中对术语“晶片”或“管芯”的任何使用分别与更上位的术语“衬底”或“目标部分”同义。可以在曝光之前或之后在例如涂覆显影系统(典型地将抗蚀剂层施加至衬底且显影曝光后的抗蚀剂的工具)、量测工具和/或一个或更多个各种其它工具中处理本发明中提及的衬底。在适用的情况下,可以将本发明中的公开内容应用在这些和其它衬底处理工具。此外,可以将衬底处理多于一次,例如以便产生多层IC,使得本发明使用的术语衬底也可以指已经包含多个被处理层的衬底。
[0363] 尽管在上文可已特定地参考在光学光刻式的情境下的本公开的实施例的使用,但应理解,本公开可以用于其它应用(例如,纳米压印光刻术)中,并且在情境允许的情况下不限于光学光刻术。在纳米压印光刻的情况下,图案形成装置为压印模板或模具。
[0364] 本发明中使用的术语“辐射”和“束”涵盖所有类型的电磁辐射,包括紫外线(UV)辐射(例如具有为或约为365、355、248、193、157或126nm的波长)和极紫外线(EUV)辐射(例如具有在5至20nm范围内的波长)以及粒子束,诸如离子束或电子束。
[0365] 术语“透镜”在情境允许时可以指各种类型的光学元件中的任一个或其组合,包括折射式、反射式、磁性式、电磁式和静电式光学元件。
[0366] 本发明中对超越或超过阈值的参考可以包括这样的事物:其值低于特定值或者低于或等于特定值、其值高于特定值或者高于或等于特定值、其基于例如参数而被排名(通过例如分类)成高于或低于其它事物等等。
[0367] 本发明中对校正误差或误差的校正的参考包括消除误差或将误差减小至容许范围内。
[0368] 如本发明中使用的术语“优化(optimizing和optimization)”是指或意味着调整光刻设备、图案化过程等,使得光刻或图案化处理的结果和/或过程具有较理想特性,诸如设计布局在衬底上的较高的投影精度、较大的过程窗口等。因此,如本发明中使用的术语“优化”是指或意味着识别用于一个或更多个变量的一个或更多个值的过程,所述一个或更多个值相比于用于那些一个或更多个变量的一个或更多个值的初始集合提供在至少一个相关量度方面的改善,例如局部最优。因此,“最优”和其它相关术语应据此解释。在实施例中,优化步骤可以迭代地应用,以提供一个或更多个量度的进一步改善。
[0369] 在系统的优化过程中,可以将所述系统或过程的品质因数表示为成本函数。优化过程归结为寻找优化(例如最小化或最大化)成本函数的系统或过程的参数集合(设计变量)的过程。成本函数可以依赖于优化的目标而具有任何适合的形式。例如,成本函数可以是系统或过程的某些特性(评估点)相对于这些特性的预期值(例如,理想值)的偏差的加权均方根(RMS);成本函数也可以为这些偏差的最大值(即,最差偏差)。本发明中的术语“评估点”应被广泛地解释为包括系统或过程的任何特性。归因于系统或过程的实施的适用性,系统的设计变量可以被限于有限的范围和/或可以是相互依赖的。在光刻设备或图案化过程的情况下,约束常常与硬件的物理属性和特性(诸如,可调谐范围和/或图案形成装置可制造性设计规则)相关联,并且评估点可以包括衬底上的抗蚀剂图像上的实体点,以及诸如剂量和焦点之类的非实体特性。
[0370] 虽然上文已描述本公开的特定实施例,但应了解,可以与描述的方式不同的其它方式来实践本公开。例如,本公开可以呈包含描述如上文公开的方法的机器可读指令的一个或更多个序列的计算机程序或其中存储有这种计算机程序的数据存储介质(例如半导体存储器、磁盘或光盘)的形式。
[0371] 在框图中,图示的部件被描绘为离散的功能块,但实施例不限于本发明中描述的功能如所说明那样组织的系统。由部件中的每个提供的功能可以由软件或硬件模块提供,所述模块以与当前描绘的方式不同的方式组织,例如可以掺和、结合、复写、解散、分配(例如,在数据中心内或按地区),或以其它不同方式组织这种软件或硬件。本发明中描述的功能可以由执行存储在有形的非暂时性机器可读介质上的程序代码的一个或更多个计算机的一个或更多个处理器提供。在一些情况下,第三方内容分发网络可以是在网络上传送的一些或全部信息的主机,在这种情况下,在一定程度上信息(例如,内容)被认为被供给或以其它方式提供,所述信息可以通过发送指令从内容分发网络获取所述信息而被提供。
[0372] 除非另有特定陈述,否则根据本论述,应理解的是,在整个说明书中,利用诸如“处理”、“计算(computing、calculating)”、“确定”等术语的讨论是指特定设备(诸如专用目的计算机或类似的专用目的电子处理/计算装置)的动作或过程。。
[0373] 读者应了解,本申请描述几个发明。申请人已将这些发明分组成单个文件,而不是将那些发明分离成多个独立的专利申请,这是因为所述发明的相关主题可以在应用过程中有助于经济发展。但不应合并这些发明的不同优点和方面。在一些情况下,实施例解决本发明中所提到的所有不足,但应理解,所述发明是独立地有用,并且一些实施例仅解决这些问题的子集或提供其它没有提及的益处,检阅本公开的本领域技术人员将明白所述益处。归因于成本约束,目前可能不主张本发明中公开的一些发明,并且可以在稍后的申请(诸如接续申请或通过修改本权利要求)中主张所述发明。类似地,归因于空间限制,本文献的摘要和发明内容章节都不应视为包含所有这些发明的全面列举或这些发明的所有方面。
[0374] 应理解,本说明书和附图并不意图将本发明限于公开的特定形式,而正相反,本发明意图涵盖属于如由所附权利要求所限定的本发明的精神和范围的所有修改、等效物和替代方案。
[0375] 鉴于本说明书,本领域技术人员将明白本发明的各种方面的修改和替代实施例。因此,本说明书和附图应被理解为仅为说明性的且是出于教导本领域技术人员进行本发明的一般方式的目的。应理解,本发明中示出和描述的本发明的形式应被视为实施例的示例。
元件和材料可替代本发明中所说明和描述的元件和材料,部分和过程可以被颠倒或被省略,可以独立地利用某些特征,并且可以组合实施例或实施例的特征,所有这些对于本领域技术人员在具有本发明的说明书的益处之后将是清楚的。可以在不背离如在随附权利要求中描述的本发明的精神和范围的情况下对本发明中描述的元件作出改变。本发明中使用的标题仅为实现组织性目的,并且不意图用以限制本说明书的范围。
[0376] 在以下编号的项目中进一步描述根据本发明的另外的实施例:
[0377] 1.一种确定图案化过程的所关注的参数的值的方法,所述方法包括:
[0378] 获得辐射的检测到的第一表示与第二表示,所述辐射由通过使用所述图案化过程形成的结构对偏振后的入射辐射的重引导提供,分别从重引导后的辐射的第一偏振分量与第二偏振分量导出检测到的所述第一表示与第二表示,其中:
[0379] 检测到的所述第一表示的不对称性包括来自所述所关注的参数的贡献和来自不对称性的一个或更多个其它来源的贡献;
[0380] 相比于检测到的所述第一表示的不对称性,检测到的所述第二表示的不对称性包括相对于来自所述所关注的参数的贡献更大的来自不对称性的所述一个或更多个其它来源的贡献;以及
[0381] 所述方法还包括使用检测到的所述第一表示与第二表示的组合以确定所述所关注的参数的值。
[0382] 2.根据项目1所述的方法,其中检测到的所述第二表示的不对称性实质上不包括来自所述所关注的参数的贡献。
[0383] 3.根据前述项目中任一项所述的方法,其中所述第一偏振分量与所述第二偏振分量彼此正交。
[0384] 4.根据前述项目中任一项所述的方法,其中所述第一偏振分量与所述入射辐射的偏振正交。
[0385] 5.根据前述项目中任一项所述的方法,其中所述所关注的参数的值的所述确定包括使用检测到的所述第二表示以至少部分地识别和/或减小或移除来自所述一个或更多个其它来源的对于检测到的所述第一表示的不对称性的贡献。
[0386] 6.根据前述项目中任一项所述的方法,其中所述所关注的参数的值的所述确定包括:
[0387] 确定所述第二表示的不对称性;
[0388] 基于所述第二表示的被确定的不对称性而修改检测到的所述第一表示的至少一部分;以及
[0389] 确定修改后的检测到的第一表示的不对称性。
[0390] 7.根据项目1-5中任一项所述的方法,其中所述所关注的参数的值的所述确定包括由检测到的所述第二表示划分检测到的所述第一表示。
[0391] 8.根据前述项目中任一项所述的方法,其中来自所述一个或更多个其它来源的对于不对称性的总体贡献对于检测到的所述第一表示和检测到的所述第二表示两者是实质上相等的。
[0392] 9.根据前述项目中任一项所述的方法,其中辐射的检测到的所述第一表示与第二表示主要具有零阶辐射。
[0393] 10.根据前述项目中任一项所述的方法,其中辐射的检测到的所述第一表示与第二表示是辐射的检测到的光瞳表示。
[0394] 11.根据项目1-9中任一项所述的方法,其中辐射的检测到的所述第一表示与第二表示是图像平面中的所述结构的检测到的场图像。
[0395] 12.根据项目11所述的方法,其中:
[0396] 检测到的所述第二表示包括第一场图像和第二场图像;
[0397] 所述第一场图像由穿过所述光瞳平面中的第一区域的辐射专门地形成;
[0398] 所述第二场图像由穿过所述光瞳平面中的第二区域的辐射专门地形成;并且[0399] 检测到的所述第二表示的不对称性包括所述第一场图像与所述第二场图像之间的差异。
[0400] 13.根据项目12所述的方法,其中:
[0401] 检测到的所述第一表示包括第三场图像和第四场图像;
[0402] 所述第三场图像由穿过所述光瞳平面中的所述第一区域的辐射专门地形成;
[0403] 所述第四场图像由穿过所述光瞳平面中的所述第二区域的辐射专门地形成;以及[0404] 检测到的所述第一表示的不对称性包括所述第三场图像与所述第四场图像之间的差异。
[0405] 14.根据项目12或13所述的方法,其中所述第一区域与所述第二区域相对于彼此点对称或镜像对称。
[0406] 15.根据项目12-14中任一项所述的方法,其中所述场图像主要由零阶辐射形成。
[0407] 16.根据项目12-14中任一项所述的方法,其中:
[0408] 检测到的所述第二表示主要由零阶辐射形成;并且
[0409] 检测到的所述第一表示主要由高于零阶的辐射形成。
[0410] 17.根据项目16所述的方法,其中检测到的所述第一表示的不对称性包括由符号相反的衍射阶形成的场图像之间的强度差或由源自光瞳平面中的不同区域的高于零阶的辐射形成的场图像之间的强度差。
[0411] 18.根据前述项目中任一项所述的方法,其中所述结构包括:器件结构、或衬底管芯内的包括器件结构的非器件结构。
[0412] 19.根据前述项目中任一项所述的方法,还包括:
[0413] 利用所述偏振后的入射辐射照射所述结构;
[0414] 使用第一传感器获得检测到的所述第一表示;以及
[0415] 使用所述第一传感器或第二传感器获得与检测到的所述第一表示无关的检测到的所述第二表示。
[0416] 20.根据项目19所述的方法,还包括使用依赖于偏振的束划分装置以将所述重引导后的辐射分成主要由所述第一偏振分量形成的第一辐射束和主要由所述第二偏振分量形成的第二辐射束。
[0417] 21.根据前述项目中任一项所述的方法,其中所述所关注的参数包括所述结构中的不同层之间的重叠误差。
[0418] 22.根据前述项目中任一项所述的方法,其中检测到的所述第一表示的不对称性和检测到的所述第二表示的不对称性中的任一个或两者包括包含强度和/或相位的光学特性值的不对称性。
[0419] 23.根据前述项目中任一项所述的方法,其中检测到的所述第一表示的不对称性和检测到的所述第二表示的不对称性中的任一个或两者包括镜像线不对称性或点不对称性。
[0420] 24.一种清除包含关于图案化过程的所关注的参数的信息的信号的方法,所述方法包括:
[0421] 接收包括辐射的检测到的第一表示与第二表示的输入信号,所述辐射由通过使用所述图案化过程形成的结构对偏振后的入射辐射的重引导提供,分别从重引导后的辐射的第一偏振分量与第二偏振分量导出检测到的所述第一表示与第二表示,其中:
[0422] 检测到的所述第一表示的不对称性包括来自所述所关注的参数的贡献和来自不对称性的一个或更多个其它来源的贡献;
[0423] 相比于检测到的所述第一表示的不对称性,检测到的所述第二表示的不对称性包括相对于来自所述所关注的参数的贡献更大的来自不对称性的所述一个或更多个其它来源的贡献;以及
[0424] 所述方法还包括使用检测到的所述第一表示与第二表示的组合而产生输出信号,其中所述输出信号的产生包括使用检测到的所述第二表示以减小来自不对称性的所述一个或更多个其它来源的对于所述输出信号的贡献。
[0425] 25.一种器件制造方法,所述方法包括:
[0426] 使用图案化过程以在衬底上形成结构;
[0427] 利用偏振后的入射辐射照射所述结构;
[0428] 获得由所述结构重引导的辐射的检测到的第一表示与第二表示,分别从所述重引导后的辐射的第一偏振分量与第二偏振分量导出检测到的所述第一表示与第二表示,其中:
[0429] 检测到的所述第一表示的不对称性包括来自所述所关注的参数的贡献和来自不对称性的一个或更多个其它来源的贡献;
[0430] 相比于检测到的所述第一表示的不对称性,检测到的所述第二表示的不对称性包括相对于来自所述所关注的参数的贡献更大的来自不对称性的所述一个或更多个其它来源的贡献;以及
[0431] 所述方法还包括使用检测到的所述第一表示与第二表示的组合以确定所述所关注的参数的值。
[0432] 26.一种计算机程序产品,所述计算机程序产品包括上面记录有指令的计算机非暂时性可读介质,所述指令在由计算机执行时实施根据项目1至24中任一项所述的方法。
[0433] 27.一种系统,所述系统包括:
[0434] 计算机系统;和
[0435] 非暂时性计算机可读存储介质,所述非暂时性计算机可读存储介质被配置成存储机器可读指令,其中所述机器可读指令在被执行时导致所述计算机系统执行根据项目1至24中任一项所述的方法。
[0436] 28.一种用于测量图案化过程的对象的量测设备,所述量测设备被配置成执行根据项目1至24中任一项所述的方法。
[0437] 29.一种系统,所述系统包括:
[0438] 量测设备,所述量测设备被配置成将辐射束提供至对象表面上且检测由所述对象表面上的结构重引导的辐射;和
[0439] 根据项目26所述的计算机程序产品。
[0440] 30.根据项目29所述的系统,还包括光刻设备,所述光刻设备包括被配置成保持图案形成装置以调制辐射束的支撑结构和被布置成将调制后的辐射束投影至辐射敏感衬底上的投影光学系统,其中所述对象是所述衬底且所述光刻设备被配置成基于使用所述量测设备和所述计算机程序产品获得的信息而控制所述光刻设备的设定。
[0441] 如贯穿本申请所使用的,词“可”是在许可的意义(即,意味着可能)而非强制性的意义(即,意味着必须)下来使用。词“包括(include/including/includes)”等意味着包括但不限于。如贯穿本申请所使用的,单数形式“a/an/the”包括复数个参照物,除非内容另有明确地指示。因此,例如,“元件(an element/a element)”的提及包括两个或更多个元件的组合,尽管会针对一个或更多个元件使用其它术语和词组,诸如“一个或更多个”。除非另外指明,否则术语“或”是非排它性的,即,涵盖“和”与“或”两者。描述条件关系的术语,例如,“响应于X,而Y”、“在X后,即Y”、“如果X,则Y”、“当X时,Y”等等涵盖因果关系,其中前提为必要的因果条件,前提为充分的因果条件,或前提为结果的贡献因果条件,例如,“在条件Y获得后,即出现状态X”对于“仅在Y后,才出现X”和“在Y和Z后,即出现X”为通用的。这些条件关系不限于立即在前提获得之后的结果,因为一些结果可能被延迟,并且在条件陈述中,前提与其结果关联,例如,前提是与出现结果的可能性相关。除非另有指示,否则多个属性或功能被映像至多个对象(例如,执行步骤A、B、C和D的一个或更多个处理器)的陈述涵盖所有这些属性或功能被映像至所有这些对象和属性或功能的子集被映像至属性或功能的子集两者(例如,所有处理器各自执行步骤A至D,并且其中处理器1执行步骤A,处理器2执行步骤B和步骤C的一部分,并且处理器3执行步骤C的一部分和步骤D的情况)。另外,除非另外指示,否则一个值或动作是“基于”另一条件或值的陈述涵盖条件或值为唯一因子的情况和条件或值为多个因子中的一个因子的情况两者。除非另外指示,否则某一集合体的“每个”实例均具有某一特性的陈述不应理解为排除较大集合体的一些原本相同或类似的构件并不具有所述特性的情况,即每个不一定意指每一个。
[0442] 在某些美国专利、美国专利申请或其它材料(例如论文)已以引用方式并入的情况下,这些美国专利、美国专利申请和其它材料的文本仅在这种材料与本发明中所阐述的陈述和附图之间不存在冲突的情况下以引用的方式并入。在存这种冲突的情况下,这种以引用的方式并入的美国专利、美国专利申请和其它材料中的任何这样的冲突文本特定地并不以引用的方式并入本发明中。
[0443] 以上描述意图为说明性的,而非限制性的。因此,对于本领域技术人员将明白,可以在不背离下文阐述的权利要求的范围的情况下对描述的公开内容进行修改。