Document Document Title
US08624392B2 Electrical connection for chip scale packaging
A system and method for providing a post-passivation and underbump metallization is provided. An embodiment comprises a post-passivation layer that is larger than an overlying underbump metallization. The post-passivation layer extending beyond the underbump metallization shields the underlying layers from stresses generated from mismatches of the materials' coefficient of thermal expansion.
US08624388B2 Package carrier and manufacturing method thereof
In a manufacturing method of a package carrier, a substrate including a first metal layer, a second metal layer having a top surface and a bottom surface opposite to each other, and an insulating layer between the first and second metal layers is provided. The second metal layer has a greater thickness than the first metal layer. A first opening passing through the first metal layer and the insulating layer and exposing a portion of the top surface of the second metal layer is formed. The first metal layer is patterned to form a patterned conductive layer. Second openings are formed on the bottom surface of the second metal layer. The second metal layer is divided into thermal conductive blocks by the second openings that do not connect the first opening. A surface passivation layer is formed on the patterned conductive layer and the exposed portion of the top surface.
US08624381B2 Integrated antennas in wafer level package
A semiconductor module, comprises a package molding compound layer comprising an integrated circuit (IC) device embedded within a package molding compound, the integrated circuit device and the package molding compound having a common surface. Structures are formed to connect the semiconductor module to an external board, the structures electrically connected to the integrated circuit device. A layer is formed on the common surface, the layer comprising at least one integrated antenna structure, the integrated antenna structure being coupled to the IC device.
US08624377B2 Method of stacking flip-chip on wire-bonded chip
A first chip is mounted on a substrate and includes a plurality of bump pads located on an active surface of the first chip. A wire bonds a first bump pad to the substrate. An intermediate layer is disposed on a portion of the active surface of the first chip, and a via within the intermediate layer extends to a second bump pad. A second chip is disposed on the intermediate layer, and wherein the second chip includes a third bump pad located on an active surface of the second chip and aligned with the via formed in the intermediate layer. A corresponding bump is disposed on one or more of the second bump pad and the third bump pad, and within the via, wherein the corresponding bump electrically connects the second bump pad with the third bump pad.
US08624373B2 Miniature electronic component for microwave applications
The invention relates to a miniature microwave component having: a microwave chip (18, 60, 140) encapsulated in an individual package (61) for surface mounting. A metal base (80) mounts the chip in the package via its rear face. The base has an aperture (82). At least two access ports are provided for the communication of electrical signals between the inside and the outside of the package. A contactless microwave access port (62), by electromagnetic coupling at the aperture in the base, ensures transmission of coupling signals at a working frequency F0. A subharmonic access port (110) via a contact, inputs, into the integrated circuit, a subharmonic frequency F0/n of the working frequency F0. The chip includes, among its electrical conductors, a coupling electrical conductor (96) connected to the electronic elements of the chip. The coupling conductor is placed at the contactless microwave access port (62) in order to transmit microwave signals by electromagnetic coupling at the working frequency F0.
US08624365B1 Interposer based capacitors for semiconductor packaging
Some of the embodiments of the present disclosure provide a semiconductor package structure comprising a leadframe; an interposer disposed on the leadframe, the interposer comprising a plurality of dielectric layers including at least (i) a first dielectric layer and (ii) a second dielectric layer; a semiconductor device disposed on the interposer; and a capacitor that is embedded within the interposer, wherein the capacitor is formed using at least (i) a first conductive area disposed on the first dielectric layer and (ii) a second conductive area disposed on the second dielectric layer. Other embodiments are also described and claimed.
US08624364B2 Integrated circuit packaging system with encapsulation connector and method of manufacture thereof
An integrated circuit packaging system includes: a base integrated circuit package having a base integrated circuit on a base substrate thereof; a base barrier on the base substrate adjacent a base perimeter of the base substrate; a stack substrate over the base substrate, the stack substrate having a stack substrate aperture with the stack substrate having an inter-substrate connector thereon; a connector underfill through the stack substrate aperture encapsulating the inter-substrate connector, overflow of the connector underfill prevented by the base barrier; and a cavity formed of the stack substrate, the base integrated circuit package, and the connector underfill, the cavity horizontally offset from the base barrier.
US08624361B1 Self-formation of high-density defect-free and aligned nanostructures
A device and method for forming nanostructures includes providing a monocrystalline semiconductor layer on a flexible substrate and stressing the substrate in accordance with a crystal cleave plane to initiate cracks in the semiconductor layer. The cracks are propagated on the crystal cleave plane through the semiconductor layer where the cracks are spaced by an intercrack distance as determined by applying a particular strain. The strain is released to provide parallel structures on the flexible substrate.
US08624359B2 Wafer level chip scale package and method of manufacturing the same
A wafer level chip scale package (WLCSP) includes a semiconductor device including an active surface having a contact pad, and side surfaces. A mold covers the side surfaces of the semiconductor device. A RDL structure includes a first PPI line electrically connected to the contact pad and extending on the active surface of the semiconductor device. A UBM layer is formed over and electrically connected to the first PPI line. A seal ring structure extends around the upper periphery of the semiconductor device on the mold. The seal ring structure includes a seal layer extending on the same level as at least one of the first PPI line and the UBM layer. A method of manufacturing a WLCSP includes forming a re-routing laminated structure by simultaneously forming an interconnection line and a seal layer on the molded semiconductor devices.
US08624355B2 Semiconductor device and method for manufacturing the same
A semiconductor device includes an n-type first guard ring layer provided between an emitter layer and a collector layer on a surface side of a base layer, and having a higher n-type impurity concentration than the base layer, and an n-type second guard ring layer provided between the first guard ring layer and a buried layer, connected to the first guard ring layer and the buried layer, and having a higher n-type impurity concentration than the base layer. The first guard ring layer has an n-type impurity concentration profile decreasing toward the second guard ring layer side, and the second guard ring layer has an impurity concentration profile decreasing toward the first guard ring layer side.
US08624342B2 Rear-face illuminated solid state image sensors
A microelectronic unit includes a semiconductor element having a front surface to which a packaging layer is attached, and a rear surface remote from the front surface. The element includes a light detector including a plurality of light detector element arranged in an array disposed adjacent to the front surface and arranged to receive light through the rear surface. The semiconductor element also includes an electrically conductive contact at the front surface connected to the light detector. The conductive contact includes a thin region and a thicker region which is thicker than the thin region. A conductive interconnect extends through the packaging layer to the thin region of the conductive contact, and a portion of the conductive interconnect is exposed at a surface of the microelectronic unit.
US08624340B2 Plasma processing apparatus and method thereof
In a plasma torch unit, copper rods forming a coil as a whole are disposed inside copper rod inserting holes formed in a quartz block so that the quartz block is cooled by water flowing inside the copper rod inserting holes and cooling water pipes. A plasma ejection port is formed on the lowermost portion of the torch unit. While a gas is being supplied into a space inside an elongated chamber, high-frequency power is supplied to the copper rods to generate plasma in the space inside the elongated chamber so that the plasma is applied to a substrate.
US08624333B2 Semiconductor device, method of forming semiconductor device, and data processing system
A semiconductor device includes a semiconductor substrate including a fin. The fin includes first and second fin portions. The first fin portion extends substantially in a horizontal direction to a surface of the semiconductor substrate. The second fin portion extends substantially in a vertical direction to the surface of the semiconductor substrate. The fin has a channel region.
US08624326B2 FinFET device and method of manufacturing same
A semiconductor device and method for fabricating a semiconductor device is disclosed. An exemplary semiconductor device includes a substrate including a first dielectric layer disposed over the substrate. The semiconductor device further includes a buffer layer disposed over the substrate and between first and second walls of a trench of the dielectric layer. The semiconductor device further includes an insulator layer disposed over the buffer layer and between the first and second wall of the trench of the dielectric layer. The semiconductor device also includes a second dielectric layer disposed over the first dielectric layer and the insulator layer. Further, the semiconductor device includes a fin structure disposed over the insulator layer and between first and second walls of a trench of the second dielectric layer.
US08624324B1 Connecting through vias to devices
Methods and devices for connecting a through via and a terminal of a transistor formed of a strained silicon material are provided. The terminal, which can be a source or a drain of a NMOS or a PMOS transistor, is formed within a substrate. A first contact within a first inter-layer dielectric (ILD) layer over the substrate is formed over and connected to the terminal. A through via extends through the first ILD layer into the substrate. A second contact is formed over and connected to the first contact and the through via within a second ILD layer and a contact etch stop layer (CESL). The second ILD layer is over the CESL, and the CESL is over the first ILD layer, which are all below a first inter-metal dielectric (IMD) layer and the first metal layer of the transistor.
US08624316B2 Nonvolatile semiconductor memory device and method of fabricating the same
According to one embodiment, a semiconductor device, including a substrate, a stacked layer body provided above the substrate, the stacked layer body alternately stacking an insulator and an electrode film one on another, silicon pillars contained with fluorine, the silicon pillar penetrating through and provided in the stacked layer body, a tunnel insulator provided on a surface of the silicon pillar facing to the stacked layer body, a charge storage layer provided on a surface of the tunnel insulator facing to the stacked layer body, a block insulator provided on a surface of the charge storage layer facing to the stacked layer body, the block insulator being in contact with the electrode film, and an embedded portion provided in the silicon pillars.
US08624314B2 Semiconductor device and method for manufacturing semiconductor device
According to one embodiment, a semiconductor device comprises an active area extending in a first direction, a contact plug located on a first portion of the active area, and a transistor located on a second portion adjacent to the first portion of the active area in the first direction. A width of a top surface area of the first portion in a second direction perpendicular to the first direction is smaller than that of a top surface area of the second portion in the second direction.
US08624309B2 Photosensors including photodiode control electrodes and methods of operating same
A sensor includes a substrate, a floating diffusion node in the substrate, a photodiode in the substrate laterally spaced apart from the floating diffusion region and a transfer transistor coupling the photodiode and the floating diffusion region. The sensor further includes a photodiode control electrode disposed on the photodiode and configured to control a carrier distribution of the photodiode responsive to a control signal applied thereto. The floating diffusion region may have a first conductivity type, the photodiode may include a first semiconductor region of a second conductivity type disposed on a second semiconductor region of the first conductivity type, and the photodiode control electrode may be disposed on the first semiconductor region. The photodiode may be configured to receive incident light from a side of the substrate opposite the photodiode control electrode. The transfer transistor may include a gate electrode on a channel region in the substrate and the photodiode control electrode and the transfer transistor gate electrode may be separately controllable. In further embodiments, the photodiode control electrode comprises an extension of the transfer transistor gate electrode.
US08624308B2 Image sensor five transistor pixel element with four control signals
The invention provides a solid-state image pickup device and method for realizing a higher sensitivity and a higher S/N ratio especially in the low-luminance region while maintaining a wide dynamic range. Plural pixels are integrated in an array configuration on a semiconductor substrate with each pixel having photodiode PD, which receives light and generates and stores photoelectric charge, transfer transistor Tr1, which transfers such photoelectric charge from such photodiode, floating diffusion FD, which transfers such photoelectric charge through such transfer transistor, additive capacitive element Cs, which is set connected via the floating diffusion to the photodiode, capacitive coupling transistor Tr2, which combines or divides the capacitance of such floating diffusion and the capacitance of such additive capacitive element, and reset transistor Tr3, which is connected to such additive capacitive element or floating diffusion; and the capacitance of the floating diffusion is smaller than that of the photodiode.
US08624299B2 Stacked bit line dual word line nonvolatile memory
An arrangement of nonvolatile memory devices, having at least one memory device level stacked level by level above a semiconductor substrate, each memory level comprising an oxide layer substantially disposed above a semiconductor substrate, a plurality of word lines substantially disposed above the oxide layer; a plurality of bit lines substantially disposed above the oxide layer; a plurality of via plugs substantially in electrical contact with the word lines and, an anti-fuse dielectric material substantially disposed on side walls beside the bit lines and substantially in contact with the plurality of bit lines side wall anti-fuse dielectrics.
US08624295B2 SRAM devices utilizing strained-channel transistors and methods of manufacture
A novel SRAM memory cell structure and method of making the same are provided. The SRAM memory cell structure comprises strained PMOS transistors formed in a semiconductor substrate. The PMOS transistors comprise epitaxial grown source/drain regions that result in significant PMOS transistor drive current increase. An insulation layer is formed atop an STI that is used to electrically isolate adjacent PMOS transistors. The insulation layer is substantially elevated from the semiconductor substrate surface. The elevated insulation layer facilitates the formation of desirable thick epitaxial source/drain regions, and prevents the bridging between adjacent epitaxial layers due to the epitaxial layer lateral extension during the process of growing epitaxial sour/drain regions. The processing steps of forming the elevated insulation layer are compatible with a conventional CMOS process flow.
US08624292B2 Non-polar semiconductor light emission devices
A light emitting device includes a silicon substrate having a (100) upper surface. The (100) upper surface has a recess, the recess being defined in part by (111) surfaces of the silicon substrate. The light emitting device includes a GaN crystal structure over one of the (111) surfaces which has a non-polar plane and a first surface along the non-polar plane. Light emission layers over the first surface have at least one quantum well comprising GaN.
US08624279B2 Light emitting diode substrate and light emitting diode
A light emitting diode (LED) substrate includes a sapphire substrate which is characterized by having a surface consisting of irregular hexagonal pyramid structures, wherein a pitch of the irregular hexagonal pyramid structure is less than 10 μm. A symmetrical cross-sectional plane of each of the irregular hexagonal pyramid structures has a first base angle and a second base angle, wherein the second base angle is larger than the first base angle, and the second base angle is 50° to 70°. This LED substrate has high light-emitting efficiency.
US08624275B2 Organic light-emitting panel for controlling an organic light emitting layer thickness and organic display device
A pixel in the panel includes sub-pixels 100a, 100b, and 100c. Non-light-emitting cells 100d and 100e are provided between the pixel and adjacent pixels on both sides thereof, respectively. The organic light-emitting layer of sub-pixel 100a and non-light-emitting cell 100d are separated by bank 105a. Similarly, the organic light-emitting layer of sub-pixel 100c and non-light-emitting cell 100e are separated by bank 105d; the organic light-emitting layers of sub-pixels 100a and 100b are separated by bank 105b; and the organic light-emitting layers of sub-pixels 100b and 100c are separated by bank 105c. Inclination angle θaa of sidewall 105aa of bank 105a adjacent to sib-pixel 100a and inclination angle θdc of sidewall 105dc of bank 105d adjacent to sib-pixel 100c are larger than other inclination angles θba, θbb, θcb, and θcc.
US08624272B2 LED assembly with color temperature correction capability
An illumination assembly is provided which is capable of correcting a color temperature. The assembly includes a substrate with a plurality of coatings applied on a respective plurality of surface portions of a base material. A light emitting device includes one or more light emitting elements of a first color temperature mounted on surface portions of the substrate having a first color coating, and one or more light emitting elements having a second color temperature mounted on surface portions of the substrate having a second color coating. Light emitting elements are individually sealed with a resin containing an excitable phosphor, with a reflectance factor of the first color coating and a reflectance factor of the second color coating set corresponding to light emitted from the light emitting elements having the first and second color temperatures, respectively, with respect to a desired color temperature for the light emitting device.
US08624269B2 Radiation-emitting thin-film semiconductor chip and method of producing a radiation-emitting thin film semiconductor chip
A radiation-emitting thin film semiconductor chip is herein described which comprises a first region with a first active zone, a second region, separated laterally from the first region by a space, with a second active zone which extends parallel to the first active zone in a different plane, and a compensating layer, which is located in the second region at the level of the first active zone, the compensating layer not containing any semiconductor material.
US08624268B2 Light emitting device package and method of manufacturing the same
A light emitting device package is provided. The light emitting device package comprises a substrate comprising a plurality of protrusions, an insulating layer on the substrate, a metal layer on the insulating layer, and a light emitting device on the substrate electrically connected to the metal layer.
US08624265B2 Semiconductor element
According to one embodiment, the semiconductor element includes a semi-insulating substrate which has a first first-conductivity-type layer. The semiconductor element includes a first semiconductor layer. The first semiconductor layer contains non-doped AlXGa1-XN (0≦X<1). The semiconductor element includes a second semiconductor layer. The second semiconductor layer contains non-doped or second-conductivity-type AlYGa1-YN (0
US08624262B2 Light emitting diode
A light emitting diode includes: an electrically conductive permanent substrate having a reflective top surface; an epitaxial film disposed on the reflective top surface of the permanent substrate and having an upper surface and a roughened lower surface that is opposite to the upper surface, the roughened lower surface having a roughness with a height of not less than 300 nm and a plurality of peaks which are in ohmic contact with the reflective top surface; an optical adhesive filled in a gap between the lower surface and the reflective top surface and connecting the epitaxial film to the permanent substrate; and a top electrode disposed on the upper surface and in ohmic contact with the epitaxial film.
US08624256B2 Display device
The present invention provides a display device which forms a drive circuit using a bottom-gate-type TFT made of poly-Si which generates a small leak current in a periphery of a display region. A gate electrode is made of Mo having a high melting point, and a gate insulation film is formed on the gate electrode. A channel layer constituted of a poly-Si layer is formed on the gate insulation film, and the poly-Si layer is covered with an a-Si layer. An n+Si layer is formed on the a-Si layer, and an SD electrode is formed on the n+Si layer. Although holes are induced in the poly-Si layer when a negative voltage (inverse bias) is applied to the gate electrode, the holes cannot pass through the a-Si layer and hence, no drain current flows. Accordingly, it is possible to realize a bottom-gate-type TFT using poly-silicon which generates a small leak current.
US08624252B2 Substrate having film pattern and manufacturing method of the same, manufacturing method of semiconductor device, liquid crystal television, and el television
The invention provides a manufacturing method of a substrate having a film pattern including an insulating film, a semiconductor film, a conductive film and the like by simple steps, and also a manufacturing method of a semiconductor device which is low in cost with high throughput and yield. According to the invention, after forming a first protective film which has low wettability on a substrate, a material which has high wettability is applied or discharged on an outer edge of a first mask pattern, thereby a film pattern and a substrate having the film pattern are formed.
US08624246B2 Display device and method of manufacturing the same
A display device and a method of manufacturing the same. In one embodiment, a display device includes a substrate having a pixel region, a transistor region and a capacitor region, a transistor arranged within the transistor region of the substrate and a capacitor arranged within the capacitor region of the substrate, wherein the capacitor includes a lower electrode arranged on the substrate, a gate insulating layer arranged on the lower electrode and an upper electrode arranged on the gate insulating layer and overlapping the lower electrode, the upper electrode includes a first conductive layer and a second conductive layer arranged on the first conductive layer, wherein the first conductive layer is opaque.
US08624244B2 Thin film transistor including a light-transmitting semiconductor film and active matrix substrate
A thin film transistor includes a gate electrode, a semiconductor layer, and a source electrode and a drain electrode placed on the semiconductor layer and electrically connected with the semiconductor layer. The semiconductor layer includes a light-transmitting semiconductor film and an ohmic conductive film placed on the light-transmitting semiconductor film and having a lower light transmittance than the light-transmitting semiconductor film. The ohmic conductive film is formed not to protrude from the light-transmitting semiconductor film. The ohmic conductive film is formed in separate parts with a channel part between the source electrode and the drain electrode interposed therebetween. The source electrode and the drain electrode are connected to the light-transmitting semiconductor film through the ohmic conductive film.
US08624243B2 Display panel
A display panel includes an insulation substrate having a display area and a peripheral area, wires disposed on the insulation substrate in the display area, first and second testing lines disposed on the insulation substrate and aligned substantially parallel to each other, and a diode unit disposed between the wires and one of the first testing line and the second testing line. The wires extend from the display area into the peripheral area and through diodes included in the diode unit, and the wires are electrically connected to the one of the first testing line and the second testing line.
US08624241B2 Semiconductor chip, stack-type semiconductor package
A semiconductor chip includes: a first substrate having a first surface and a second surface facing away from the first surface; a first test through silicon via (TSV) passing through the first substrate from the first surface to the second surface; and a conductive protrusion coupled to the first test TSV and protruding from the second surface.
US08624239B2 Semiconductor device
In a transistor, a drain electrode to which a high electric field is applied is formed over a flat surface, and an end portion of a gate electrode on the drain electrode side in a channel width direction and an end portion of the gate electrode in a channel length direction are covered with an oxide semiconductor with a gate insulating layer between the gate electrode and the oxide semiconductor layer, so that withstand voltage of the transistor is improved. Further, a semiconductor device for high power application, in which the transistor is used, can be provided.
US08624230B2 Organic light emitting diode display
Disclosed is an organic light emitting diode (OLED) device, which includes: an organic light emitting diode including a first electrode, a second electrode, and an emission layer interposed between the first electrode and the second electrode; a base substrate supporting the organic light emitting diode; and a sealing member disposed on the base substrate while covering the organic light emitting diode. Herein, the sealing member includes a fluorinated epoxy sealing material including a fluorinated epoxy resin.
US08624220B2 Nitride semiconductor
To provide a high-quality nitride semiconductor ensuring high emission efficiency of a light-emitting element fabricated. In the present invention, when obtaining a nitride semiconductor by sequentially stacking a one conductivity type nitride semiconductor part, a quantum well active layer structure part, and a another conductivity type nitride semiconductor part opposite the one conductivity type, the crystal is grown on a base having a nonpolar principal nitride surface, the one conductivity type nitride semiconductor part is formed by sequentially stacking a first nitride semiconductor layer and a second nitride semiconductor layer, and the second nitride semiconductor layer has a thickness of 400 nm to 20 μm and has a nonpolar outermost surface. By virtue of selecting the above-described base for crystal growth, an electron and a hole, which are contributing to light emission, can be prevented from spatial separation based on the QCSE effect and efficient radiation is realized. Also, by setting the thickness of the second nitride semiconductor layer to an appropriate range, the nitride semiconductor surface can avoid having extremely severe unevenness.
US08624214B2 Semiconductor device having a resistance variable element and a manufacturing method thereof
A semiconductor device (100) of the present invention has a structure in which an interlayer insulating layer (115) is formed on an uppermost wire (114), contacts (116, 117) penetrate the interlayer insulating layer (115), a lower electrode (118a) of the resistance variable element is formed on the interlayer insulating layer (115) to cover the contact (116), and resistance variable layer (119) is formed on the interlayer insulating layer (115) to cover the lower electrode (118a) and the contact (117). The contact (116) and the lower electrode (118a) serve as a first terminal, while the contact (117) serves as a second terminal.
US08624213B2 Optocoupler circuit for gate driver
An optocoupler circuit includes a switch connected in parallel with a photo LED, the photo LED having an anode and a cathode. The anode is connected to a power supply via a decoupling capacitor. The optocoupler circuit is arranged so that the switch turns on the photo LED when in the open position. When closed, the switch directs current flow through a series resistor to ground and shunts current flow away from the photo LED to turn off the photo LED. A second capacitor is connected to the cathode of the photo LED. The second capacitor is wired in series with a second switch and a current limiting resistor connected to ground. The first switch and second switch operate in complementary states to prevent the cathode connected capacitor from discharging. The disclosed optocoupler circuit provides the ability to function at increased levels of common mode voltage transients.
US08624208B2 Extreme ultraviolet light generation system
An extreme ultraviolet light generation system is an extreme ultraviolet light generation system which is used with a laser apparatus and is connected to an external device so as to supply extreme ultraviolet light thereto, and the extreme ultraviolet light generation system may include: a chamber provided with at least one inlet through which a laser beam is introduced thereinto; a target supply unit provided to the chamber for supplying a target material to a predetermined region inside the chamber; a discharge pump connected to the chamber; at least one optical element disposed inside the chamber; an etching gas introduction unit provided to the chamber through which etching gas passes, the etching gas being introduced to etch debris of the target material which is emitted when the target material is irradiated with the laser beam inside the chamber and adheres to the at least one optical element; and at least one temperature control mechanism for controlling a temperature of the at least one optical element.
US08624204B2 Serial irradiation of a substrate by multiple radiation sources
A system for configuring and utilizing J electromagnetic radiation sources (J≧2) to serially irradiate a substrate. Each source has a different function of wavelength and angular distribution of emitted radiation. The substrate includes a base layer and I stacks (I≧2; J≦I) thereon. Pj denotes a normally incident energy flux on each stack from source j. In each of I independent exposure steps, the I stacks are concurrently exposed to radiation from the J sources. Vi and Si respectively denote an actual and target energy flux transmitted into the substrate via stack i in exposure step i (i=1, . . . , I). t(i) and Pt(i) are computed such that: Vi is maximal through deployment of source t(i) as compared with deployment of any other source for i=1, . . . , I; and an error E being a function of |V1−S1|, |V2−S2|, . . . , |VI−SI| is about minimized with respect to Pi (i=1, . . . , I).
US08624185B2 Sample preparation
Disclosed are methods for preparing samples that include forming a first channel in a material by directing a first plurality of noble gas ions at the material, forming a second channel in the material by directing a second plurality of noble gas ions at the material, where the second channel is spaced from the first channel so that a portion of the material between channels has a mean thickness of 100 nm or less, and detaching the portion from the material to yield the sample.
US08624182B2 Electro-optical inspection apparatus and method with dust or particle collection function
An electro-optical inspection apparatus prevents adhesion of dust or particles to a sample surface, wherein a stage on which a sample is placed is disposed inside a vacuum chamber that can be evacuated, and a dust collecting electrode is disposed to surround a periphery of the sample. The dust collecting electrode is applied with a voltage having the same polarity as a voltage applied to the sample and an absolute value that is the same or larger than an absolute value of the voltage. Because dust or particles adhere to the dust collecting electrode, adhesion of the dust or particles to the sample surface can be reduced. Instead of using the dust collecting electrode, it is possible to form a recess on a wall of the vacuum chamber, or to dispose on the wall a metal plate having a mesh structure to which a predetermined voltage is applied.
US08624181B1 Controlling ion flux into time-of-flight mass spectrometers
A time-of-flight mass spectrometer (TOF MS) includes an ion gate, an ion guide downstream of the ion gate, and a TOF analyzer downstream of the ion guide. The TOF MS is operated with an adjustable duty cycle to limit the amount of ions entering a TOF analyzer and avoid saturating a detector system of the TOF MS. The duty cycle is adjusted by controlling the ion gate. The ion guide emits ions as a continuous beam, without trapping the ions. The ion guide may be operated as a collision cell. The TOF MS may also include a mass filter upstream of the ion guide.
US08624176B2 Detector assembly comprising a cap assembly including a radially expandable member
A detector assembly includes a cap assembly configured to close an end of a detector housing that is configured to contain a sensor therein, the cap assembly has a radially expandable member configured to expand radially within the detector housing and lock the position of the cap assembly relative to the detector housing.
US08624174B2 Mirror structure with at least one component of an optical sensor integrated therewith
A mirror structure is provided in which at least a portion of a wavefront sensor is integrated with a mirror. In particular, a mirror structure is provided in which a Hartmann mask or a microlens array of a Shack-Hartmann wavefront sensor is integrated with a mirror to provide a very compact wavefront detector/corrector in a single device. Such a mirror structure may be used in a laser cavity to simplify adaptive optics in the cavity. Furthermore, a Hartmann Mask may be integrated with self deforming mirror comprising an active PZT layer bonded to a passive mirror substrate, wherein the Hartmann Mask comprises an array of apertures formed through the active PZT layer.
US08624171B2 Tail thruster control for projectiles
A system and method for guiding a projectile is presented. A nozzle system includes a boom assembly body that can be attached to a rear end of a projectile. A gas tank in the boom assembly contains pressurized gas. Fins are attached to the boom assembly body to guide the projectile. A valve lets a pulse of gas out of the gas tank. A nozzle expels the pulse of gas to control an angle of attack and lift of the projectile to guide the projectile to a target.
US08624169B1 Electric grilling appliance
A heating appliance comprises a chamber portion defining a heating chamber, a lid portion, a heating apparatus to heat air in the heating chamber, a food temperature sensor to sense an internal temperature of an item located in the heating chamber, a user interface configured to receive designation of a desired time of completion of heating of the item from the user, and a control circuit configured to control the supply of power to the heating apparatus. The control circuit is in communication with the temperature sensor and the user interface. The control circuit may control the heating apparatus so that the internal temperature of the item reaches a predetermined temperature at the desired time of completion designated by the user. The control circuit may control the heating apparatus so that the internal temperature of the item is maintained at a predetermined temperature for a predetermined period of time before an end of the desired time of completion.
US08624168B2 Heating plate with diode planar heater zones for semiconductor processing
A heating plate for a substrate support assembly in a semiconductor plasma processing apparatus, comprises multiple independently controllable planar heater zones arranged in a scalable multiplexing layout, and electronics to independently control and power the planar heater zones. Each planar heater zone uses at least one diode as a heater element. A substrate support assembly in which the heating plate is incorporated includes an electrostatic clamping electrode and a temperature controlled base plate. Methods for manufacturing the heating plate include bonding together ceramic or polymer sheets having planar heater zones, power supply lines, power return lines and vias.
US08624167B2 Device for making a muffle
A device for making a muffle, with which dental restoration parts can be produced with the aid of an embedding compound, at least one press blank and a pressing device, the device having a muffle base, a tubular sleeve surrounding the muffle base and at least one muffle insert which is arranged on the muffle base and can be separated from it. At least two cylindrical press-channel forming elements (16, 18, 20) extend parallel to one another and spaced apart from one another from a flat-formed portion of the muffle insert (12), which can be burned out at least with respect to the forming elements, which elements are formed in a closed manner, and in particular with thin walls, at least on one free end face opposite from the flat-formed portion (14).
US08624163B2 Modified flux system
A titanium based welding flux that includes titanium dioxide and a moisture resistant agent. The titanium oxide includes purified titanium dioxide that includes little or no impurities that can act as nucleation sites for carbide formation in a weld metal. The moisture resistant compound includes a colloidal metal oxide.
US08624161B2 Welding process employing variable minimum current
A constant voltage (CV) welding process power supply including a controller that implements a variable minimum current is provided. The controller is configured to periodically compute a running current value during a welding operation. The controller is also configured to periodically compute a minimum current value based on a difference between the running current value and a preset offset value, wherein the preset offset value remains constant throughout the welding operation.
US08624158B2 Manipulation apparatus for system that removes material from a surface of a structure
A manipulation system controllably moves a head relative to a surface of an inhabitable structure for irradiating the surface with energy waves from the head. The manipulation system includes a positioning mechanism coupled to the head. The positioning mechanism includes a first-axis position system adapted to move the head along a first direction substantially parallel to the surface. The positioning mechanism further includes a second-axis position system coupled to the first-axis position system and adapted to move the head along a second direction substantially parallel to the surface. The manipulation system further includes an anchoring mechanism coupled to the positioning mechanism and releasably coupled to the structure.
US08624153B2 Laser processing method and device
A laser processing method which can efficiently perform laser processing while minimizing the deviation of the converging point of a laser beam in end parts of an object to be processed is provided.This laser processing method comprises a preparatory step of holding a lens at an initial position set such that a converging point is located at a predetermined position within the object; a first processing step (S11 and S12) of emitting a first laser beam for processing while holding the lens at the initial position, and moving the lens and the object relative to each other along a main surface so as to form a modified region in one end part of a line to cut; and a second processing step (S13 and S14) of releasing the lens from being held at the initial position after forming the modified region in the one end part of the line to cut, and then moving the lens and the object relative to each other along the main surface while adjusting the gap between the lens and the main surface after the release, so as to form the modified region.
US08624150B2 Adapter for a plasma arc torch
An apparatus and method for extending a plasma arc torch is disclosed. The apparatus includes a plasma torch adapter for relocating a mounting location of plasma torch consumables with respect to a plasma arc torch. The adapter is configured to be mounted between a plasma arc torch and the plasma torch consumables. The adapter is connectable to a consumable interface of the torch and includes a generally longitudinal body having a first end and a second end. The adapter includes a first connector at the first end of the body that is adapted to mate with the consumable interface. The adapter further includes a second connector at the second end of the body for mating with a set of plasma torch consumables, such that a second mounting location for consumables is established in a spaced relationship relative to the first connector, the mounting location adjacent the second connector.
US08624149B2 Plasma torch with reversible baffle
A plasma arc torch includes an annular baffle defining distinct faces A, B, C, and D. Faces A and C are opposite from each other, and faces B and D are opposite from each other. First passages for fluid flow extend between the faces A and C, and second passages extend between the faces B and D. The baffle is installable in an annular space between an inner wall and an outer wall, in either of two orientations. In a first orientation, the first passages are open to fluid flow and the second passages are closed by engagement of the inner and outer walls with the faces B and D, and in a second orientation, flipped over relative to the first orientation, the second passages are open to fluid flow and the first passages are closed by engagement of the inner and outer walls with the faces A and C.
US08624141B2 Illuminated button assembly
Illuminated button assemblies are provided that can be secured to a mounting surface by any of a locking member such as a snap tab, a securing member such as a nut, or a combination of both. The securing member is adapted to enclose the locking member when it is fully secured. A variety of illumination assemblies are similarly sized and shaped such that they can be interchanged within the same assembly housing. A switch and the illumination assembly are directly connected to the assembly housing without the need for a lamp holder.
US08624137B2 Device with a micro electromechanical structure
A device has a micro electromechanical structure (10) with a first arm (102), at least one second arm (104a, b) connected to each other via a connection (100). Both arms (102, 104a, b) and the connection (100) are preferably made of a single crystalline body. The first and second arm (102, 104a, b) have end portions attached to a substrate, but otherwise the arms and their connection are free to move relative to the substrate. The first and second arm (102, 104a, b) extending from the end portions to the connection (100) along different directions, preferably perpendicularly to each other. An electrode (12) is provided on the substrate, adjacent to the micro electromechanical structure (10) to excite vibration of the structure. The two arms in different directions make it possible to reduce the nonlinearity of the stiffness during vibrations of the structure.
US08624132B2 Printed wiring board
A printed wiring board including a wiring substrate provided with at least one conductor circuit, a solder resist layer provided on the surface of the wiring substrate, at least one conductor pad formed from a part of the conductor circuit exposed from an opening provided in the solder resist layer, and at least one solder bump for mounting electronic parts on the conductor pad. In the printed wiring board, since the at least one conductor pad is aligned at a pitch of about 200 μm or less, and a ratio (W/D) of a diameter W of the solder bump to an opening diameter D of the opening formed in the solder resist layer is about 1.05 to about 1.7, connection reliability and insulation reliability can be easily improved.
US08624129B2 Method of attaching a high power surface mount transistor to a printed circuit board
A power device that includes a printed circuit board having one or more dielectric and copper layers between a top and a bottom metal layer. The power device includes an area extending through all metal and dielectric layers of the printed circuit board except the bottom metal layer. A semiconductor device is positioned within the area and mounted to the bottom metal layer of the printed circuit board.
US08624128B2 Printed circuit board and manufacturing method thereof
A printed circuit board and a manufacturing method of the printed circuit board are disclosed. The printed circuit board includes: a first insulation layer having a first pattern formed thereon; a first trench caved in one surface of the first insulation layer along at least a portion of the first pattern; and a second insulation layer stacked on one surface of the first insulation layer so as to cover the first pattern. The first trench is filled by the second insulation layer.
US08624123B2 Printed circuit board
The present invention relates to a printed circuit board. A heat radiation coating material is applied to a portion of a circuit layer formed on an outermost portion of the printed circuit board, thereby making it possible to improve heat radiation performance of the printed circuit board. The heat radiation coating material also serves as a solder resist, thereby making it possible to insulate and protect the printed circuit board without a separate solder resist.
US08624122B2 Circuit board structure having measures against heat
To achieve efficient heat spreading and heat releasing by using a metal core of a circuit board, a terminal block includes an insulating block body and terminals. At least one of the terminals is provided with terminal portions for a connection with a circuit board. The terminal portions are inserted into respective through holes of the circuit board, the circuit board having a pattern circuit at a surface layer thereof and a conductive metal core at an intermediate portion in a thickness direction, so that heat of the metal core or of both the metal core and the pattern circuit is absorbed and transferred to the terminals. A bus-bar block includes an insulating block body and several parallel bus-bars with different lengths. Terminal portions at a tip end of the bus-bars are inserted, near heat-generating component on the circuit board, into the through holes of the circuit board.
US08624119B2 Conductor of an electrical wire for wiring, method of producing a conductor of an electrical wire for wiring, electrical wire for wiring, and copper alloy solid wire
A conductor of an electrical wire for wiring is disclosed. The conductor is obtained by stranding a plurality of copper alloy wire materials, each having a composition containing 0.3 to 1.5 mass % of Cr, with the balance being Cu and inevitable impurities. The conductor has a tensile strength of 400 MPa or more and 650 MPa or less, an elongation of 7% or more when broken, an electrical conductivity of 65% IACS or more, a ratio between a 0.2% proof stress and the tensile strength of 0.7 or more and 0.95 or less, and a work-hardening exponent of 0.03 or more and 0.17 or less. A method of producing the conductor; an electrical wire for wiring, in which an insulating cover is provided on the conductor; and a copper alloy solid wire for the conductor are also disclosed.
US08624116B2 Communication wire
The present invention relates to an improved isolated core or insulated conductor with a low dielectric constant and reduced materials costs. Apparatuses and methods of manufacturing the improved isolated core or insulated conductor are also disclosed.
US08624114B2 Wiring harness
A buffering part 34 is formed by turning an end side of a wiring harness 30 in a U-shape, and by detachably holding a neighbor of an end of the wiring harness 32 with a retainer 29 of a protector 24 disposed on a middle of the wiring harness 33. A circular portion of the buffering part 34 can work as a buffer. The buffer performance of the buffering part 34 depends on flexibility and resiliency of a corrugate tube 25 and on a circular shape formed between a holding position of the retainer 29 and the neighbor of the end of the wiring harness 32.
US08624113B2 Device housing and manufacturing method
A housing includes a transparent panel and a housing body. The housing body includes a main body and a flange portion extending from the main body. The filler is formed between the transparent panel and the housing body. The filler includes a first connecting portion, a second connecting portion, and a support portion integrally formed together, the first connecting portion connecting the transparent panel to the flange portion, the support portion supporting the transparent panel, and the second connecting portion connected to the housing body.
US08624110B2 Vibration resistant cable
Vibration resistant cables containing a first conductor and a second conductor, each having a diameter d, are disclosed. The second conductor is twisted around the first conductor at a particular lay length to eliminate bagging of the vibration resistant cable.
US08624108B1 Photovoltaic cells based on nano or micro-scale structures
Novel structures of photovoltaic cells (also treated as solar cells) are provided. The cells are based on nanometer-scaled wires, tubes, and/or rods, which are made of electronic materials covering semiconductors, insulators or metallic in structure. These photovoltaic cells have large power generation capability per unit physical area over the conventional cells. These cells will have enormous applications in space, commercial, residential, and industrial applications.
US08624102B2 Metal trace fabrication for optical element
A system may include an optical element including a surface defining a recess, conductive material disposed within the recess, and a solder mask disposed over a portion of the conductive material. The solder mask may define an aperture through which light from the optical element may pass. Some aspects provide creation of an optical element including a surface defining a recess, deposition of conductive material on the surface such that a portion of the deposited conductive material is disposed within the recess, and substantial planarization of the surface to expose the portion of the conductive material disposed within the recess.
US08624101B2 Adjustable solar power generation apparatus
An adjustable solar power generation apparatus includes a solar power generation unit, a base, and a supporting bar supporting the base. The base accommodates the solar power generation unit. The supporting bar supports the base. The solar power generation unit includes a fixed lens unit and a solar cell. The fixed lens unit includes a converging lens to converge sunlight. The solar cell is located in the fixed lens unit, and is capable of moving toward or away from the converging lens to adjust the light intensity received by the solar cell.
US08624086B2 Nucleic acid molecules and their use in plant sterility
The present invention provides a method for disrupting pollen development in a plant, the method comprising inhibiting the expression of an endogenous nucleic acid molecule which is, under normal conditions, detectably expressed in anther tissue of a plant during pollen formation, and which codes for a protein belonging to the MYB class of DNA binding transcription factors. Particularly, the nucleic acid molecule whose expression is blocked encodes MYB 32 or MYB 103. The invention also provides nucleic acid molecules for use in the method, use of the method in producing male sterile plants and transgenic plants produced in accordance with the method.
US08624085B2 Insect resistant protein and insect-resistance gene encoding the insect-resistant protein
An insect-resistant protein exhibiting a sufficient resistance to insects; an insect-resistance gene encoding the insect-resistant protein; a recombinant vector containing the insect-resistance gene; a host cell and a plant cell having the recombinant vector transfected thereinto; a transformant transformed by the insect-resistance gene and a method for producing the same; a protein recovered thereby; and an insect-resistant agent comprising them as active ingredients. In accordance with the present invention, the insect-resistant protein is derived from a plant.
US08624080B2 Plant virus-based inducible expression system
A process of producing one or more than one protein of interest, comprising: (a) providing a plant or plant cells comprising a first heterologous nucleotide sequence comprising a nucleotide sequence encoding an RNA replicon, and a first inducible promoter operably linked to said nucleotide sequence encoding said RNA replicon; said RNA replicon not encoding a protein providing for cell-to-cell movement of said RNA replicon in said plant; said RNA replicon encoding a polymerase and said one or more than one protein of interest, said polymerase being adapted for replicating said RNA replicon; and (b) inducing, in said plant or plant cells of step (a), said inducible promoter, thereby producing said one or more than one protein of interest in said plant or plant cells.
US08624076B2 Dressing and an epidermal positioning mechanism and method for using same
A method for using a dressing mechanism on an epidermis. The dressing mechanism includes a first section, a second section, and a third section and the first section and the third section comprise an elastic material and include a first side having an adhesive located thereon. The second section is a laminated material.
US08624071B2 Process for the production of bio-naphtha from complex mixtures of natural occurring fats and oils
Process for making a bio-diesel and a bio-naphtha and optionally bio-propane from a complex mixture of natural occurring fats & oils, wherein said complex mixture is subjected to a refining treatment for removing the major part of the non-triglyceride and non-fatty acid components, thereby obtaining refined oils; said refined oils are subjected to a fractionation step for obtaining: an unsaturated or substantially unsaturated, liquid or substantially liquid triglyceride part (phase L); and a saturated or substantially saturated, solid or substantially solid triglyceride part (phase S); and said phase L is transformed into alkyl-esters as bio-diesel by a transesterification; said phase S is transformed into linear or substantially linear paraffin's as the bio-naphtha: by an hydrodeoxygenation or from said phase S are obtained fatty acids that are transformed into linear or substantially linear paraffin's as the bio-naphtha by hydrodeoxygenation or decarboxylation of the free fatty acids or from said phase S are obtained fatty acids soaps that are transformed into linear or substantially linear paraffin's as the bio-naphtha by decarboxylation of the soaps.
US08624061B2 Process for the preparation of iodinated contrast agent
The present invention relies on a process for the preparation of non ionic iodinated contrast agents and, in more details, it relates to a process for the preparation of Iopamidol in high yields and with a high degree of purity. In more details, the invention discloses a process for the preparation of a compound of formula (III) comprising the condensation reaction a compound of formula (II) with 2-amino-1,3-propandiol, being said reaction carried out in an aprotic dipolar solvent and in the presence of an alkaline or alkaline rare earth metal oxide or hydroxide.
US08624056B2 Halogenated analogues of anti-fibrotic agents
The present invention relates to halogenated compounds of formula (I) with the substituents as described within the specification. The compounds may be useful as anti-fibrotic agents. The present invention also relates to methods for their preparation.
US08624054B2 Carbonylation process for the production of methyl acetate
A process for the production of methyl acetate by reacting dimethyl ether with carbon monoxide into a carbonylation reactor containing a mordenite catalyst in the presence of added methyl acetate and/or acetic acid.
US08624053B2 Method to produce a stable dry ionic-bonded creatine α ketoglutarate of high oral absorbability
The invention provides a method to produce a stable, ionic-bonded, dry creatine-α-ketoglutarate product at a molar ratio of about 2:1. The product is stable at room temperature when kept dry for periods of up to one year. The product can be supplemented with additional biologically active, natural amino acid, preferably l-arginine, l-taurine and l-citrulline. The serving dosage is typically between about 1 and 2 g.
US08624045B2 Process for olefin oxide production
A process for the epoxidation of an olefin is disclosed which includes: reacting a feed gas composition containing an olefin, oxygen, and a moderator selected from the group consisting of diatomic chlorine and perhalogenated hydrocarbons, in the presence of an epoxidation catalyst.
US08624043B2 Catalytic conversion of cellulose to liquid hydrocarbon fuels by progressive removal of oxygen to facilitate separation processes and achieve high selectivities
Described is a method to make liquid chemicals. The method includes deconstructing cellulose to yield a product mixture comprising levulinic acid and formic acid, converting the levulinic acid to γ-valerolactone, and converting the γ-valerolactone to pentanoic acid. Alternatively, the γ-valerolactone can be converted to a mixture of n-butenes. The pentanoic acid can be decarboxylated yield 1-butene or ketonized to yield 5-nonanone. The 5-nonanone can be hydrodeoxygenated to yield nonane, or 5-nonanone can be reduced to yield 5-nonanol. The 5-nonanol can be dehydrated to yield nonene, which can be dimerized to yield a mixture of C9 and C18 olefins, which can be hydrogenated to yield a mixture of alkanes.
US08624039B2 Method for preparing [18F]fallypride with low base concentration
A method for preparing [18F]fallypride is disclosed, which comprises a first step for trapping a fluorine-18 to a polymer ion exchange cartridge; a second step for extraction of fluorine-18 by inputting low base concentrations: 5.0˜25 μL of 40% TBAHCO3 or K2.2.2./K2CO3 (5˜25 mg/0.5˜3.0 mg) as a phase-transfer catalyst in a mixture of alcohol/water (1.0/0.2 (v/v)) or alcohol as a solvent into the polymer ion exchange cartridge trapped by the fluorine-18; a third step for preparing a [18F]fallypride product by removing the solvent from the trapped fluorine-18, by inputting tosylate precursor in CH3CN as a solvent into a reactor and by reacting the same for 5˜35 minutes at 50˜120° C.; and a fourth step for preparing a pure [18F]fallypride by purifying the prepared [18F]fallypride product.
US08624038B2 Pyrrolidine-2,5-dione derivatives for use in friction modification
The present disclosure relates to a compound of the formula I or a tribologically acceptable salt, solvate, hydrate, or proadditive thereof, wherein R1, R2 and R4 are as defined herein. Such novel pyrrolidine, or succinimide, derivatives may be useful as friction modifiers in lubricant compositions. This disclosure also relates to a method of using such friction modifier compounds in lubricating fluid formulations to lubricate machine parts, including gear, axle, engine, and transmission parts, and to lubricant compositions containing such friction modifier compounds.
US08624035B2 Functionalized cyanine having a silane linker arm, a method of preparing thereof and uses thereof
A silane-modified cyanine of Formula (I) includes the valence tautomers thereof: wherein R1 is a linear, saturated or unsaturated alkyl chain, having 1 to 30 carbon atoms, wherein one or more carbon atoms are optionally substituted by a 4-, 5- or 6-membered aromatic or non aromatic cyclic grouping of carbon atoms; R8 and R9 are independently selected from the group consisting of —OCH3, —OCH2CH3, —OCH2CH2CH3, —OCH(CH3)2, —OCH2CH2OCH3, —Cl, —Br, —I, Formula (II), Formula (III), —N(CH3)2, Formula (IV), Formula (V), methyl, ethyl, propyl, isopropyl. The synthesis method and the use as a fluorescent marker are for inorganic solid supports, for example silica nanoparticles, and/or for biomolecules such as peptides, antibodies, DNA, RNA, etc.
US08624029B2 Lipidated imidazoquinoline derivatives
The compounds of the subject invention are adjuvant molecules that comprise a imidazoquinoline molecule covalently linked to a phospho- or phosphonolipid group. The compounds of the invention have been shown to be inducers of interferon-a, IL-12 and other immunostimulatory cytokines and possess an improved activity profile in comparison to known cytokine inducers when used as adjuvants for vaccine antigens.
US08624027B2 Combination therapy for treating cancer and diagnostic assays for use therein
The present disclosure relates to a combination of therapeutic agents for use in treating a patient a subject suffering from cancer. In addition, the present disclosure also relates to diagnostic assays useful in classification of patients for treatment with one or more therapeutic agents.
US08624024B2 Phosphoramide compound, method for producing the same, ligand, complex, catalyst and method for producing optically active alcohol
Disclosed is a method for highly efficiently obtaining an optically active alcohol from a carbonyl compound highly enantioselectively. Also disclosed is a ligand used in such a method. Specifically, an optically active alcohol is obtained by reacting a carbonyl compound and an organozinc compound by using a ligand (L) shown below.
US08624020B2 Method for isolating and purifying nucleic acids
The present invention relates to a method for the isolation and purification of nucleic acids by elution of nucleic acids from nucleic acid-containing samples, and biological materials, using a wash buffer comprising an alcohol having 1 to 3 carbon atoms and at least one further solvent selected from the group consisting of alkane diols and alkane triols having 2 to 6 carbon atoms, monocarboxylic acid esters and dicarboxylic acid diesters having 2 to 6 carbon atoms in the acidic component and 1 to 4 carbon atoms in the alcoholic component; (poly)ethylene glycols and ether derivatives and ester derivatives thereof, and poly(4-styrene sulfonic acid-co-maleic acid) sodium salt solution. The present invention further relates to a kit for carrying out the method of the invention.
US08624015B2 Probe set and method for identifying HLA allele
Provided is a probe set that is useful for identifying each allele of HLA individually, and a method of identification of an allele of HLA by the use thereof for each type.The probe set is composed of probes that cover all of the partial sequences that contain a unique base to each allele. Using this probe set HLA contained in a specimen is identified.
US08624007B2 Alteration of Fc-fusion protein serum half-lives by mutagenesis
The present invention provides for a modified Fc-fusion protein in which at least one amino acid from the heavy chain constant region selected from the group consisting of amino acid residues 250, 314, and 428 is substituted with another amino acid which is different from that present in the unmodified Fc-fusion protein, thereby altering the binding affinity for FcRn and/or the serum half-life in comparison to the unmodified Fc-fusion protein.
US08624004B2 Purification of HBV antigens for use in vaccines
The present invention relates to a method for the production of a hepatitis B antigen suitable for use in a vaccine, the method comprising purification of the antigen in the presence of cysteine, to vaccines comprising such antigens.
US08623996B2 Cold active enzyme and method thereof
The objective of the present invention is to obtain a low temperature producing enzyme and more particularly, the enzyme provides a bifunctional purpose of varying its enzyme activity into activity of another enzyme. The present invention has overcome problems such as ability of obtaining an enzyme at low temperature.
US08623986B2 Gels
The present invention relates to biostable gel comprising: (a) at least one silicon-containing polyol, polyamine, polyepoxy or polyisocyanate having 1 or more functional groups and a molecular weight of at least 20,000 which is cured in the presence of: (b) at least one diol, diamine or diisocyanate having a molecular weight of less than 10,000; and/or (c) an initiator, processes for their preparation and their use in the manufacture and repair of biomaterials and medical devices, articles or implants, in particular the manufacture of a soft tissue implant such as breast implants and the repair of orthopaedic joints such as spinal discs.
US08623978B2 Process for the preparation of low-peroxide crosslinked vinyllactam polymer
Process for the preparation of low-peroxide crosslinked vinyllactam polymer by free-radical polymerization in the presence of at least one organic substance acting as antioxidant, low-peroxide crosslinked vinyllactam polymer obtainable by this process, and its use.
US08623972B2 Styrene-butadiene copolymers, process for the preparation thereof and high cohesion adhesive compositions
The present invention relates to styrene-butadiene copolymers (SBR) that are prepared using aqueous emulsion polymerization technology and are intended for the adhesive and sealing industry, being particularly useful in the preparation of contact adhesives and pressure-sensitive adhesives (PSA) and widely applicable in the footwear and furniture industries. The use of this kind of elastomer in processes for preparing adhesives, having an aqueous or solvent base, provides high cohesion to adhesive compositions without compromising or jeopardizing the adhesion. The present invention is also intended to protect the process for obtaining SBR copolymers, simultaneously comprising: aqueous emulsion polymerization at high temperatures, the use of a specific surfactant and the maintenance of the Mooney viscosity and the combined styrene content in the copolymer in high ranges. Another object of the present invention application is the protection of adhesive compositions that are obtained from SBR copolymers, either in solid or latex form.
US08623966B2 Process for preparing acrylamide copolymers by Hofmann degradation reaction
In a process for preparing cationic or amphoteric (co)polymers derived from acrylamide by a Hofmann degradation reaction in aqueous solution in the presence of an alkali and/or alkaline-earth metal hydroxide and an alkali metal hypohalide on a base copolymer, a solution of base copolymer on which the reaction is performed contains a polyvalent cationic salt representing at least 0.5% by weight, preferably from 2 to 10% by weight of the base copolymer.
US08623963B2 High purity perfluoroelastomer composites and a process to produce the same
High purity perfluoroelastomer composites and processes for producing the same are provided. High purity composites may be formed from compositions comprising a crosslinkable fluoroelastomer terpolymer of TFE, PAVE, and CNVE, and functionalized PTFE, which may be crosslinked to form crosslinked composites having low metal content and low compression set. Emulsion mixtures for forming the high purity composites are also provided.
US08623959B2 Non-veining urethane resins for foundry sand casting
Described herein a method of manufacturing a metal shape that includes contacting a liquid metal and a surface of a foundry core under conditions wherein vein defects occur, the surface of the foundry core comprising a foundry aggregate, a combustible-organic material and a polyurethane resin, and the surface of the foundry core being free of or essentially free of an anti-veining agent; cooling the liquid metal to a temperature below its melting point thereby forming a metal shape; and then removing the foundry core from the metal shape.
US08623957B2 Method of preparing fluoropolymers by aqueous emulsion polymerization
Method of making fluoropolymers by emulsion polymerization of one or more fluorinated monomers in an aqueous phase in the presence of a fluorinated emulsifier, said method comprises adding a doping agent in a weight ratio with respect to the emulsifier of from about 1:2 to about 1:20, said doping agent has a melting point of equal or less than 30° C. and a boiling point of at least about 100° C. and is selected from the group consisting of fluorinated cyclic hydrocarbons, fluorinated polyoxyalkenes, fluorinated alkenes and fluorinated polyoxyalkenes.
US08623953B2 Method of making shear thinning gels
The present invention relates generally to gels that flow through an orifice. Specifically the invention relates to gels that are dispensed through a trigger spray nozzle or aerosol spray valve. Still more specifically, the invention relates to nonaquious gels containing polymers whose instantaneous viscosity reversibly decreases when the gel experiences shear loads. The invention includes spray gel compositions, methods of making spray gels and methods of dispensing gels whose viscosity exhibits an instantaneous temporary decrease in viscosity when the gel is subjected to shear force as happens when it flows through an orifice, such as a spray nozzle or aerosol valve.
US08623947B2 Plasticized PVC composition
The invention developed compositions of plasticizers obtained from the partial transesterification, acetylation and epoxidation of vegetable oils with ethanol or glycerin, henceforth called partially transesterified epoxidized bioesters.
US08623942B2 Epoxy resin composition, curing agent, and curing accelerator
The present invention provides a liquid curable epoxy resin composition that has excellent storage stability and curing properties and provides a cured product having excellent properties, particularly, excellent organic solvent resistance. For that purpose, a clathrate containing a carboxylic acid compound and at least one selected from the group consisting of an imidazole compound represented by formula (I), wherein R1 to R4 each represent a hydrogen atom or the like, and 1,8-diazabicyclo[5.4.0]undecene-7 (DBU) is mixed in an epoxy resin. The liquid curable epoxy resin composition uses a liquid epoxy resin or an organic solvent.
US08623939B2 Ink
An ink contains at least a first solid particle, and a second solid particle formed of a base material of a different main component from that of the first solid particle. The first solid particle and the second solid particle have zeta potentials of the same polarity, or zeta potentials of 0±5 mV. The first and second solid particles in the ink have the same surface property, specifically the same interface property in the ink. This makes it possible to use a common dispersant suited for adsorption on the first and second solid particles. In this way, more than one kind of solid particle can be stably dispersed using a sole kind of dispersant.
US08623936B2 Weighted elastomers, cement compositions comprising weighted elastomers, and methods of use
Methods and compositions are provided that relate to weighted elastomers. The weighted elastomers may comprise an elastomer and a weighting agent attached to an outer surface of the elastomer. An embodiment includes a method of cementing that comprises providing a cement composition containing cement, water, and a weighted elastomer. In addition, the cement composition may be introduced into a subterranean formation and allowed to set therein.
US08623935B2 Antimicrobial compositions
Antimicrobial compositions are provided that include a hydroalcoholic solvent system comprising a lower C2-C5 alcohol and water; a cationic antimicrobial agent such as chlorhexidine gluconate; a hydrophobic polymer soluble in the lower alcohol; an emollient ester such as diesters of bibasic acids and triesters of citric acid; and an optional fatty component containing at least one free hydroxyl group, such as a C12-C21 fatty alcohol, a C12-C21 fatty ester, a C12-C21 fatty ether, a C12-C21 fatty amide, and combinations thereof. The compositions described herein display improved antimicrobial efficacy and improved cosmetic elegance.
US08623930B2 Thermoplastic resin foam, method of producing the same, and light reflecting material thereof
{Problems}The present invention is contemplated for providing a thermoplastic resin foam and a light reflecting material having a high reflectivity when being made thin, as well as a method of producing the thermoplastic resin foam.{Means to Solve}A thermoplastic resin foam, prepared by using a thermoplastic resin composition containing a melt-type crystallization nucleating agent (B) in a crystalline thermoplastic resin (A), which foam comprises a bubble having a mean bubble diameter of less than 1 μm in the inside thereof.
US08623926B2 Process for the production of methanol including two membrane separation steps
Disclosed herein is a methanol production process that includes at least two membrane separation steps. Using the process of the invention, the efficiency of methanol production from syngas is increased by reducing the compression requirements of the process and/or improving the methanol product yield. As an additional advantage, the first membrane separation step generates a hydrogen-rich stream which can be sent for other uses. An additional benefit is that the process of the invention may debottleneck existing methanol plants if more syngas or carbon dioxide is available, allowing for feed of imported carbon dioxide into the synthesis loop. This is a way of sequestering carbon dioxide.
US08623902B2 Microbiocides
Compounds of Formula (I), in which the substituents are as defined in claim 1, are suitable for use as microbiocides.
US08623892B2 Metalloenzyme inhibitor compounds
The instant invention describes compounds having metalloenzyme modulating activity, and methods of treating diseases, disorders or symptoms thereof mediated by such metalloenzymes.
US08623891B2 Methods for treating cognitive disorders using 3-aryl-3-hydroxy-2-amino-propionic acid amides, 3-heteroaryl-3-hydroxy-2-amino-propionic acid amides and related compounds
Disclosed herein are methods of treating a patient suffering from a cognitive disorder using compounds of Formulas (1) and (2) wherein the variables have the meaning disclosed in the specification.
US08623880B2 Fused pyrimidine-dione derivatives as TRPA1 modulators
The invention described herein relates to novel fused pyrimidinediones derivatives of formula (I) which are TRPA (Transient Receptor Potential subfamily A) modulators. In particular, compounds described herein are useful for treating or preventing diseases, conditions and/or disorders modulated by TRPA1 (Transient Receptor Potential subfamily A, member 1). This invention also provides processes for preparing compounds described herein, intermediates used in their synthesis, pharmaceutical compositions thereof, and methods for treating or preventing diseases, conditions and/or disorders modulated by TRPA1.
US08623875B2 Isoxazoline insecticides
Disclosed are compounds of Formula 1, including all geometric and stereoisomers, N-oxides, and salts thereof, wherein A is a 6-membered aromatic ring containing carbon atoms and 0-3 nitrogen atoms as ring members, said ring optionally substituted with 1-5 substituents independently selected from R2; R1 is C1-C6 alkyl, C2-C6 alkenyl, C2-C6 alkynyl, C3-C6 cycloalkyl, C4-C7 alkylcycloalkyl or C4-C7 cycloalkylalkyl, each optionally substituted with one or more substituents independently selected from R21; R21 is independently H, halogen, C1-C6 alkyl, C1-C6 alkoxy, C1-C6 haloalkoxy, C1-C6 alkylthio, C1-C6 haloalkylthio, C1-C6 alkylsulfinyl, C1-C6 haloalkylsulfinyl, C1-C6 alkylsulfonyl, C1-C6 haloalkylsulfonyl, CN or NO2; and Q is as defined in the disclosure. Also disclosed are compositions containing the compounds of Formula 1 and methods for controlling an invertebrate pest comprising contacting the invertebrate pest or its environment with a biologically effective amount of a compound or a composition of the invention.
US08623874B2 Method of treating neurodegenerative diseases
The present invention relates to a method of treating a patient suffering from a disorder of the central nervous system associated with 5-HT1A receptor subtype, comprising as an active ingredient a carbostyril derivative or a salt thereof represented by the formula (1) wherein the carbon-carbon bond between 3- and 4-positions in the carbostyril skeleton is a single or a double bond.
US08623873B2 Substituted piperazines as CB1 antagonists
Compounds of Formula (I): or pharmaceutically acceptable salts, solvates, or esters thereof, are useful in treating diseases or conditions mediated by CB1 receptors, such as metabolic syndrome and obesity, neuroinflammatory disorders, cognitive disorders and psychosis, addiction (e.g., smoking cessation), gastrointestinal disorders, and cardiovascular conditions.
US08623866B2 Nitrogen containing heteroaryl compounds
The invention is concerned with novel nitrogen-containing heteroaryl compounds of formula (I) wherein A1, A2, R1, R2, R3, R4, R5 and R6 are as defined in the description and in the claims, as well as physiologically acceptable salts and esters thereof. These compounds inhibit PDE10A and can be used as therapeutics.
US08623864B2 Thioridazine and derivatives thereof for reversing anti-microbial drug-resistance
The present invention relates to compositions comprising thioridazine, and derivatives thereof, together with antibacterials. These compositions have been found greatly enhance the activity of many classes of antibacterials, allowing the antibacterials to be administered at significantly lower doses. The thioridazine and the antibacterial act synergistically by pacifying the bacteria to the antibacterials. This synergistic affect lowering the inhibitory or effective concentration of the antimicrobials is most pronounced with the levorotatory isomer of thioridazine compared to the racemic or dextrorotatory isomer.
US08623857B2 N-phenyl imidazole carboxamide inhibitors of 3-phosphoinositide-dependent protein kinase-1
The present invention provide Imidazole Carboxamide Compounds of Formula (I): wherein D, T, R1, R2, R3, and R6 are as defined herein, and pharmaceutically acceptable salts of such Imidazole Carboxamide Compounds. The Imidazole Carboxamide Compounds are useful in the treatment of cancer and other aberrant conditions that result from overstimulation of the PDK-1 signaling pathway.
US08623853B2 Treatment of cancers characterized by chromosomal rearrangement of the NUT gene
The present invention is directed, inter alia, to methods of treating NUT midline carcinoma (NMC) by administering compounds that promote increased histone acetylation. The invention also includes assay methods for determining the responsiveness of NMC to specific histone deacetylases and other compounds.
US08623850B2 15, 16-methylene-17-(1′-propenyl)-17,3′-oxidoestra-4-en-3-one derivative, use thereof, and medicament containing said derivative
The invention relates to 15,16-methylene-17-(1′-propenyl)-17-3′-oxidoestra-4-en-3-one derivatives with the general chemical formula I, where the Z, R4, R6a, R6b, R7 and R18 have the meanings stated in claim 1, and solvates, hydrates and salts thereof, including all crystal modifications and all stereoisomers of these compounds. The invention also relates to the use of these derivatives for the production of a drug for oral contraception and for the treatment of pre-, peri- and postmenopausal problems and drugs which contain such derivatives, in particular use in the aforesaid indications. The derivatives according to the invention have a progestational and in preferable cases also an antimineralcorticoid and neutral to slight androgenic activity.
US08623847B2 Compositions for treatment of inflammatory diseases
Inflammatory bowel diseases are represented by two idiopathic disorders, which include ulcerative colitis and Crohn's disease. Ulcerative colitis is restricted to the colon and involves uncertain and inflammation of the lining (mucosa) of the large intestine. Crohn's disease, on the other hand, can involve the mucosa of the small and/or large intestine and may involve deeper layers of the bowel wall. The present invention is a combination of 5-aminosalicylic acid and one or more antioxidants (e.g., N-acetylcysteine) for treating such inflammatory bowel diseases.
US08623846B2 Diazeniumdiolate cyclohexyl derivatives
A compound having the structure (I) or a pharmaceutically acceptable salt thereof, wherein R3 is hydrogen, deuterium, —OH, —OC1-6alkyl, or halogen; R8 is hydrogen, deuterium, or C1-6alkyl; R11 and R12 are independently hydrogen, —C1-6alkyl, —OH, —OC1-6alkyl, or halogen; R13 and R14 are independently —C1-6alkyl, —(CH2)1-2OH, or —OC1-6alkyl, or, together with the nitrogen atom to which they are attached, form a 4- to 7-membered heterocyclic ring containing one nitrogen atom and 0 or 1 oxygen atoms, wherein said ring is unsubstituted or mono-, di- or tri-substituted with halogen or —C1-6alkyl; R15 is —C(O)OH, —C(O)OCH2CH2N+CH3)3 wherein n is 0, 1 or 2, —C(O)NHCH(R17)OR16, or —C(O)NHCH(R17)C(O)NHCH(R18)C(O)OR16; R16 is hydrogen, C1-6alkyl, or (CH2)1-2N+R19R20R21; R1, R2, R4, R5, R6, R7, R9, R10, R17, R18, R19, R20, and R21 are independently hydrogen or —C1-6alkyl; and stereoisomers thereof, and pharmaceutically acceptable salts thereof, and pharmaceutically acceptable salts of stereoisomers thereof.
US08623842B2 Hemostatic agent and method
One aspect of the invention is a method of treating a wound to clot blood. A sponge material is applied to the wound. The sponge comprises a starch having hemostatic properties and at least one binding agent. The sponge may further comprise a porous, flexible material.
US08623838B2 Organic compositions to treat HSF1-related diseases
The present disclosure relates to methods of treating heat shock factor 1 (HSF1)-related diseases such as cancer and viral diseases, using a therapeutically effective amount of a RNAi agent to HSF.
US08623832B2 Peptide compositions that bind TLR-4
Compositions that include isolated peptides that inhibit TLR-4 signaling pathways and inflammation are disclosed. Methods of producing and using the compositions to inhibit TLR-4 signaling and/or inflammation are also disclosed herein.
US08623828B2 Blocking mesothelin peptide fragments
The present invention provides mesothelin peptide fragments corresponding to the CA125 binding site of mesothelin. The peptide fragments find use in disrupting the binding interaction between mesothelin and CA 125, for example, in the treatment and prevention of cancers that require the interaction of mesothelin and CA125 for growth, progression and/or metastasis.
US08623827B2 Myelin basic protein peptide composition
The present invention relates to a composition which comprises the following myelin basic protein peptides: MBP 30-44, MBP 83-99, MBP 131-145, and MBP 140-154. The composition may be used to treat a disease, in particular multiple sclerosis and/or optical neuritis and the invention also relates to such uses and methods.
US08623820B2 FGF-9 and its use relating to blood vessels
There is provided a composition for controlling formation and/or stabilization of a blood vessel comprising a first isolated nucleic acid molecule that encodes a FGF-9 polypeptide and optionally one or more isolated nucleic acid molecule that encodes another angiogenic polypeptide. There is provided a composition for controlling formation and/or stabilization of a blood vessel comprising administering an effective amount of a composition comprising an isolated FGF-9 polypeptide and one or more other angiogenic polypeptides. The compositions provided herein may be useful for controlling angiogenesis and/or vasculogenesis.
US08623804B2 Thickened liquid hard surface cleaning composition
The present invention relates to a thickened alkaline liquid hard surface cleaning composition comprising a surfactant system and chelant to provide cleaning and shine.
US08623803B2 Children's hygiene products shaped like sports balls
A child's hygienic product comprises a body of hygiene material having an exterior surface simulating a sports ball that is sized to fit the palm of a child's hand. The hygiene material's body has a through hole communicating with the exterior surface at spatially separated regions. A portion of a generally looped shaped cord or band of resiliently stretchable material is positioned within the through-hole, and the portion emerging from the spatially separated regions extends around the outside surface of the hygiene material's body in close proximity thereto. The band is sized to extend around the hand of the child when the hygiene material's body is held by the child to generally secure the hygiene material's body in a position adjacent the child's palm when the child is using the product.
US08623800B2 Lubricant suitable for use with magnetic disk
A lubricant according to one embodiment includes a first ingredient and a second ingredient, said first ingredient as the main constituent being a first perfluoropolyether compound represented by the formula (1) which has a weight-average molecular weight of 500 to 6000 and a dispersity smaller than 1.3, and said second ingredient being a second perfluoropolyether compound which has a weight-average molecular weight of 500 to 6000 and has 6 to 10 hydroxyl groups (on average) in one molecule, Rt-Rf1-Rt   (1) where, Rf1 denotes a perfluoropolyether chain represented by the formula (2) and Rt denotes a terminal group represented by the formula (3), —CF2O—[(CF2CF2O)m—(CF2O)n]—CF2—  (2) where, m and n each denote a natural number,
US08623784B2 Polyaniline-graphite nanoplatelet materials
Nanocomposite adsorbent materials and methods for their preparation and use are described. As an example, a polyaniline-graphite nanoplatelet nanocomposite may be used to adsorb carbon dioxide.
US08623782B2 Process for preparing copper-based catalyst, copper-based catalyst, and pretreatment method of the same
There is provided by the present invention a process for preparing a copper-based catalyst having good catalytic activity, markedly excellent durability and good reproducibility. The process for preparing a copper-based catalyst of the invention is a process for preparing a catalyst composed of metal oxides containing copper oxide as an essential component and is characterized by comprising the following steps: (1) a step of bringing an acidic metal salt solution containing copper and a precipitant solution into contact with each other to obtain a slurry solution containing a precipitate of a catalyst precursor, and (2) a step of continuously bringing the slurry solution and a wash liquid into contact with each other to wash the precipitate, with substantially keeping the suspended state.
US08623779B2 Catalyst layer supported on substrate hairs of metal oxides
In one embodiment, a catalyst assembly includes a substrate including a substrate base and a number of substrate hairs extending longitudinally from the substrate base, the substrate base including a metal M, the number of substrate hairs including an oxide of the metal M; and a catalyst film contacting at least a portion of the substrate.
US08623776B2 Silicate glasses having low seed concentration
A fusion formable and ion exchangeable silicate glass having a seed concentration of less than about 1 seed/cm3.
US08623773B2 Etchant for metal layer including copper or a copper alloy, method of manufacturing a display substrate using the same and display substrate
An etchant includes about 50% by weight to about 70% by weight of phosphoric acid, about 1% by weight to about 5% by weight of nitric acid, about 10% by weight to about 20% by weight of acetic acid, about 0.1% by weight to about 2% by weight of a corrosion inhibition agent including an azole-based compound and a remainder of water.
US08623771B2 Method for fabricating micropattern of semiconductor device
A method for fabricating a micropattern of a semiconductor device is provided. The method includes forming a first hard mask over an etch target layer, forming a first sacrificial layer over the first hard mask, etching the first sacrificial layer to form a sacrificial pattern and forming spacers on both sidewalls of the sacrificial pattern, A second sacrificial layer is formed over the spacers and the first hard mask. A dummy mask is formed in a bent portion of the second sacrificial layer between the adjacent spacers. The sacrificial pattern and the second sacrificial layer are etched using the dummy mask and the spacers as an etch barrier layer to form a dummy pattern between the adjacent spacers. The first hard mask is etched using the spacers and the dummy pattern as an etch barrier layer to form a first hard mask pattern.
US08623770B1 Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
A method for sidewall spacer line doubling uses thermal atomic layer deposition (ALD) of a titanium oxide (TiOx) spacer layer. A hardmask layer is deposited on a suitable substrate. A mandrel layer of diamond-like carbon (DLC) is deposited on the hardmask layer and patterned into stripes with tops and sidewalls. A layer of TiOx is deposited, by thermal ALD without the assistance of plasma or ozone, on the tops and sidewalls of the mandrel stripes. Thermal ALD of the TiO2, without energy assistance by plasma or ozone, has been found to cause no damage to the DLC mandrel stripes. After removal of the TiOx from the tops of the mandrel stripes and removal of the mandrel stripes, stripes of TiO2 are left on the hardmask layer and may be used as an etch mask to transfer the pattern into the hardmask layer.
US08623753B1 Stackable protruding via package and method
A method of forming a stackable protruding via package including enclosing an electronic component and electrically conductive first traces on a first surface of a substrate in a package body. Protruding via apertures are formed through the package body to expose the first traces. The protruding via apertures are filled with solder to form electrically conductive vias in direct physical and electrical contact with the first traces. Via extension bumps are attached to first surfaces of the vias. The vias and the via extension bumps are reflowed to form protruding vias. The protruding vias extend from the first traces through the package body and protrude above a principal surface of the package body. The protruding vias enable electrical connection of the stackable protruding via package to a larger substrate such as a printed circuit motherboard. Further, the protruding vias in accordance with one embodiment are formed with a minimum pitch.
US08623751B2 Through-hole electrode substrate and method of manufacturing the same
A through-hole electrode substrate related to an embodiment of the present invention is arranged with a semiconductor substrate having a plurality of through-holes, an insulating layer formed with an insulating material on the inner walls of the plurality of through-holes and on at least one surface of the semiconductor substrate, a plurality of through-hole electrodes formed with a metal material inside the through-hole, and a plurality of gas discharge parts formed to contact with each of the plurality of through-hole electrodes which is exposed on at least one surface of the semiconductor substrate, the plurality of gas discharge parts externally discharges gas which is discharged from the inside of the plurality of through-hole electrodes.
US08623747B1 Silicon, aluminum oxide, aluminum nitride template for optoelectronic and power devices
A method of forming a template on a silicon substrate includes providing a single crystal silicon substrate. The method further includes forming an aluminum oxide coating on the surface of the silicon substrate, the aluminum oxide being substantially crystal lattice matched to the surface of the silicon substrate and epitaxially depositing a layer of aluminum nitride (AlN) on the aluminum oxide coating substantially crystal lattice matched to the surface of the aluminum nitride.
US08623739B2 Method of manufacturing semiconductor device using acid diffusion
A method of manufacturing a semiconductor device includes forming a resist pattern on a first region on a substrate, bringing a descum solution including an acid source into contact with the resist pattern and with a second region of the substrate, decomposing resist residues remaining on the second region of the substrate by using acid obtained from the acid source in the descum solution and removing the decomposed resist residues and the descum solution from the substrate.
US08623733B2 Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
Provided are methods of void-free tungsten fill of high aspect ratio features. According to various embodiments, the methods involve a reduced temperature chemical vapor deposition (CVD) process to fill the features with tungsten. In certain embodiments, the process temperature is maintained at less than about 350° C. during the chemical vapor deposition to fill the feature. The reduced-temperature CVD tungsten fill provides improved tungsten fill in high aspect ratio features, provides improved barriers to fluorine migration into underlying layers, while achieving similar thin film resistivity as standard CVD fill. Also provided are methods of depositing thin tungsten films having low-resistivity. According to various embodiments, the methods involve performing a reduced temperature low resistivity treatment on a deposited nucleation layer prior to depositing a tungsten bulk layer and/or depositing a bulk layer via a reduced temperature CVD process followed by a high temperature CVD process.
US08623732B2 Methods of making laterally double diffused metal oxide semiconductor transistors having a reduced surface field structure
An LDMOS transistor includes a substrate of semiconductor material, an insulator layer overlying the substrate, a semiconductor layer overlying the insulator layer, a RESURF region, and a gate. The semiconductor layer includes a first conductivity type well region, a second conductivity type source region in contact with the first conductivity type well region, a second conductivity type drain region. The RESURF region includes at least one first conductivity type material portion, and at least one portion of the at least one first conductivity type material portion electrically coupled to the first conductivity type well region. A semiconductor material having a second conductivity type is located below the RESURF region. The second conductivity type semiconductor material is also located over a part of the RESURF region. The gate is located over the first conductivity type well region and over the RESURF region.
US08623716B2 Multi-gate semiconductor devices and methods of forming the same
A multi-gate semiconductor device and method for forming the same. A multi-gate semiconductor device is formed including a first fin of a first transistor formed on a semiconductor substrate having a first dopant type. The first transistor has a doped channel region of the first dopant type. The device also includes a second fin of a second transistor formed on the first dopant type semiconductor substrate. The second transistor has a doped channel region of a second dopant type. The device further includes a gate electrode layer of the second dopant type formed over the channel region of the first fin and a gate electrode layer of the first dopant type formed over the channel region of the second fin.
US08623715B2 Method for fabricating thin-film semiconductor device for display
A method for fabricating a thin-film semiconductor device for display according to the present disclosure includes: preparing a glass substrate; forming, above the glass substrate, an undercoat layer including a nitride film; forming a molybdenum metal layer above the undercoat layer; forming a gate electrode from the metal layer by an etching process; forming a gate insulating film above the gate electrode; forming a non-crystalline silicon layer as a non-crystalline semiconductor layer above the gate insulating film; forming a polycrystalline semiconductor layer which is a polysilicon layer by annealing the non-crystalline silicon layer at a temperature in a range from 700° C. to 1400° C.; forming a source electrode and a drain electrode above the polysilicon layer; and performing hydrogen plasma treatment at a stage after the metal layer is formed and before the polysilicon layer is formed, using a radio frequency power in a range from 0.098 W/cm2 to 0.262 W/cm2.
US08623710B1 Methods of manufacture of bottom port multi-part surface mount silicon condenser microphone packages
The present invention relates to a surface mount package for a silicon condenser microphone and methods for manufacturing the surface mount package. The surface mount package uses a limited number of components which simplifies manufacturing and lowers costs, and features a substrate that performs functions for which multiple components were traditionally required, including providing an interior surface on which the silicon condenser die is mechanically attached, providing an interior surface for making electrical connections between the silicon condenser die and the package, and providing an exterior surface for surface mounting the package to a device's printed circuit board and for making electrical connections between package and the device's printed circuit board.
US08623702B2 Semiconductor device and method of forming conductive THV and RDL on opposite sides of semiconductor die for RDL-to-RDL bonding
A semiconductor device has a plurality of semiconductor die mounted to a carrier. An encapsulant is deposited over the carrier around a peripheral region of the semiconductor die. A plurality of vias is formed through the encapsulant. A first conductive layer is conformally applied over a sidewall of the vias to form conductive vias. A second conductive layer is formed over a first surface of the semiconductor die between the conductive vias and contact pads of the semiconductor die. The first and second conductive layers can be formed during the same manufacturing process. A third conductive layer is formed over a second surface of the semiconductor die opposite the first surface of the semiconductor die. The third conductive layer is electrically connected to the conductive vias. A plurality of semiconductor die is stacked and electrically connected through the conductive vias and second and third conductive layers.
US08623701B2 Semiconductor device, semiconductor device storage method, semiconductor device manufacturing method, and semiconductor manufacturing apparatus
A semiconductor package has a semiconductor chip, a lead frame in which a semiconductor chip is mounted on a die pad, and a resin sealing the semiconductor chip and the die pad from an upper surface and a lower surface, the resin has a concave portion disposed at the surface and a concave portion situated inside the concave portion in a plan view.
US08623698B2 Method for manufacturing semiconductor device
An object is to manufacture a highly reliable semiconductor device including a thin film transistor with stable electric characteristics. In a method for manufacturing a semiconductor device including a thin film transistor in which an oxide semiconductor film is used for a semiconductor layer including a channel formation region, heat treatment (for dehydration or dehydrogenation) is performed to improve the purity of the oxide semiconductor film and reduce impurities including moisture or the like. After that, slow cooling is performed under an oxygen atmosphere. Besides impurities including moisture or the like exiting in the oxide semiconductor film, heat treatment causes reduction of impurities including moisture or the like exiting in a gate insulating layer and those in interfaces between the oxide semiconductor film and films which are provided over and below the oxide semiconductor and in contact therewith.
US08623691B2 Solid state image pickup device and method of producing solid state image pickup device
Forming a back-illuminated type CMOS image sensor, includes process for formation of a registration mark on the wiring side of a silicon substrate during formation of an active region or a gate electrode. A silicide film using an active region may also be used for the registration mark. Thereafter, the registration mark is read from the back-side by use of red light or near infrared rays, and registration of the stepper is accomplished. It is also possible to form a registration mark in a silicon oxide film on the back-side (illuminated side) in registry with the registration mark on the wiring side, and to achieve the desired registration by use of the registration mark thus formed.
US08623684B2 Optoelectronic device having a sandwich structure and method for forming the same
An optoelectronic device is formed having a sandwich structure, which consists of an inorganic semiconductor layer, an organic semiconductor layer, and another inorganic semiconductor layer, where both of the two inorganic semiconductor layers are produced by a solution process.
US08623677B2 Method and system for large scale manufacture of thin film photovoltaic devices using multi-chamber configuration
A method for large scale manufacture of photovoltaic devices includes loading a substrate into a load lock station and transferring the substrate in a controlled ambient to a first process station. The method includes using a first physical deposition process in the first process station to cause formation of a first conductor layer overlying the surface region of the substrate. The method includes transferring the substrate to a second process station, and using a second physical deposition process in the second process station to cause formation of a second layer overlying the surface region of the substrate. The method further includes repeating the transferring and processing until all thin film materials of the photovoltaic devices are formed. In an embodiment, the invention also provides a method for large scale manufacture of photovoltaic devices including feed forward control.
US08623676B2 Semiconductor light emitting device with light transmittable electrode and method for manufacturing same
According to one embodiment, a semiconductor light emitting device includes first and second conductive layers, a first semiconductor layer of a first conductivity type, a second semiconductor layer of a second conductivity type, and a light emitting part. The second semiconductor layer is provided between the first conductive layer and the first semiconductor layer. The light emitting part is provided between the first and second semiconductor layers. The second conductive layer is in contact with the second semiconductor layer and the first conductive layer between the second semiconductor layer and the first conductive layer. The first and second conductive layers are transmittable to light emitted from the light emitting part. The first conductive layer includes a polycrystal having a first average grain diameter. The second conductive layer includes a polycrystal having a second average grain diameter of 150 nanometers or less and smaller than the first average grain diameter.
US08623675B2 Beam homogenizer, laser irradiation apparatus, and method for manufacturing semiconductor device
The energy distribution of the beam spot on the irradiated surface changes due to the change in the oscillation condition of the laser or before and after the maintenance. The present invention provides an optical system for forming a rectangular beam spot on an irradiated surface including a beam homogenizer for homogenizing the energy distribution of the rectangular beam spot on the irradiated surface in a direction of its long or short side. The beam homogenizer includes an optical element having a pair of reflection planes provided oppositely for reflecting the laser beam in the direction where the energy distribution is homogenized and having a curved shape in its entrance surface. The entrance surface of the optical element means a surface of the optical element where the laser beam is incident first.
US08623671B2 ALD processing techniques for forming non-volatile resistive switching memories
ALD processing techniques for forming non-volatile resistive-switching memories are described. In one embodiment, a method includes forming a first electrode on a substrate, maintaining a pedestal temperature for an atomic layer deposition (ALD) process of less than 100° Celsius, forming at least one metal oxide layer over the first electrode, wherein the forming the at least one metal oxide layer is performed using the ALD process using a purge duration of less than 20 seconds, and forming a second electrode over the at least one metal oxide layer.
US08623664B2 Urine gender test kit
A kit for determining the gender of an unborn fetus. The kit comprises a container holding a solid composition therein, the solid composition including a basic salt and a transition metal. An atmosphere in the container is substantially free of water.
US08623658B2 Methods for processing sperm cells
A method of cryopreserving sperm cells which may include cooling the quantity of sperm cells to a holding temperature, maintaining the sperm cells substantially at the holding temperature for a period, and supercooling the sperm cells by cooling the sperm cells at a first cooling rate to a temperature that approaches a critical temperature zone at which ice crystal formation and changes in osmotic pressure damage sperm cells and then cooling the sperm at a second cooling rate faster than said first cooling rate through said critical temperature zone.
US08623657B2 Flow cytometer apparatus and method
An apparatus and method for analyzing characteristics of particles in a fluid stream. The particles may be intermittently illuminated at an interrogation location with a pulsed laser. A time-varying signal produced in response to the illumination may be analyzed as a function of a timing signal in order to determine characteristics of the particles in the fluid stream.
US08623653B2 Terahertz corrosion detection method
A corrosion detection product is a coating including a film forming material and a complexing agent, the complexing agent forming a complex when it comes into contact with a corrosion byproduct produced by corrosion of a substrate on which the coating is applied, the complex being detectably different from the complexing agent when the coating is exposed to radiation in order to detect the corrosion, the complexing agent being immobilized in the coating to reduce leaching of the complexing agent or the complex from the coating.
US08623643B2 Antisense oligonucleotide modulation of STAT3 expression
Compounds, compositions and methods are provided for inhibiting the expression of human STAT3. The compositions comprise antisense oligonucleotides targeted to nucleic acids encoding STAT3. Methods of using these oligonucleotides for inhibition of STAT3 expression and for promotion of apoptosis are provided. Methods for treatment of diseases, particularly inflammatory diseases and cancers, associated with overexpression or constitutive activation of STAT3 or insufficient apoptosis are also provided.
US08623641B2 Apparatus and method for washing biological material
In a general aspect, an apparatus for washing biological material is provided which includes an outer sleeve, and an inner sleeve disposed within the outer sleeve. The outer sleeve has an open end for receiving the biological material, and an opposed closed end. The inner sleeve is detachably and slidably positioned within the outer sleeve, and includes a first port for receiving and dispensing washing liquid, a second port defining a liquid flow path between an interior of the inner sleeve and an interior of the outer sleeve, and a filter disposed in the second port. The filter allows passage of washing liquid but not passage of the biological material therethrough. A chamber, formed between the filter and the closed end of the outer sleeve, is configured to permit flow of washing liquid via the filter while retaining the biological material therein.
US08623639B2 Thermostable proteins and methods of making and using thereof
The present invention relates to functional, modified glucose-galactose binding proteins (GGBPs), that have a greater melting temperature (Tm) than a reference GGBP. The present invention also relates to biological sensors, e.g., glucose sensors, comprising these thermostable GGBPs. The present invention also relates to nucleic acids encoding these thermostable GGBPs.
US08623638B2 Intelligent multifunctional medical device apparatus and components
The multifunctional medical device contains system on chip (SoC) computation functionality to organize several functional modules consisting of analytical, diagnostic and therapeutic tasks in the microfluidic assembly. Micro-valves, micro-tubes, micro-wires and gates organize the chambers of the flexible modules which hold multiple chemical and biological agents for combination on demand.
US08623628B2 Polymerases
Modified DNA polymerases have an affinity for DNA such that the polymerase has an ability to incorporate one or more nucleotides into a plurality of separate DNA templates in each reaction cycle. The polymerases are capable of forming an increased number of productive polymerase-DNA complexes in each reaction cycle. The modified polymerases may be used in a number of DNA sequencing applications, especially in the context of clustered arrays.
US08623625B2 Sulfotransferase, peptide thereof and DNA encoding the same
A glycosaminoglycan sulfotransferase, a peptide thereof, a nucleic acid comprising a nucleotide sequence encoding the same, an enzyme agent for the synthesis of a glycosaminoglycan, which comprises the above-described enzyme or polypeptide, and a process for producing a glycosaminoglycan, which uses the enzyme agent.
US08623604B2 Methods for predicting responsiveness of a cancer cell to an anti-IGFR1 antibody by analysis of mutations in PIK3CA
The present disclosure relates generally to methods and materials for determining the responsiveness of a subject with a disease or disorder to an inhibitor of a receptor tyrosine kinase including, for example, IGF1R. Such methods may comprise obtaining a biological sample from the subject, assaying the biological sample for one or more PIK3CA mutations, determining if one or more PIK3CA mutations are present in the biological sample, and employing the determination of one or more PIK3CA mutations in the biological sample to predict responsiveness of the subject to the receptor tyrosine kinase inhibitor.
US08623600B2 Methods and compositions for identifying inhibitors of MutSα or MutSβ interaction with MutLα
Disclosed are methods and kits for screening potential inhibitors of MutSβ by screening agents for the ability to selectively inhibit interaction between MutSβ and MutLα. Also disclosed are kits for performing the methods of the invention.
US08623597B2 Bioassay method, bioassay device, and bioassay substrate
Disclosed is a bioassay method in which, by controlling the electric field formation in the reaction region where an interaction between substances, such as a hybridization, is performed, the efficiency of the interaction can be improved. Also disclosed is a bioassay apparatus in which the method can be favorably carried out. The method includes at least a step of turning on/off the electric field formation by the electric field-forming means E at a predetermined timing.
US08623595B2 Inactivation of reverse transcriptases by azido-diarylpyrimidines
Azido-diarylpyrimidine (azido-DAPY) compounds, and compositions containing such compounds, are provided. In addition, methods of using azido-diarylpyrimidines to inactivate reverse transcriptases, prepare inactivated viruses, and treat or prevent viral infections are also provided.
US08623589B2 Bottom antireflective coating compositions and processes thereof
The present invention relates to an antireflective coating composition comprising a crosslinking agent, a polymer comprising at least one chromophore group and at least one hydroxyl and/or a carboxyl group, and an additive, further where the additive has structure 1 and comprises at least one arylene-hydroxyl moiety, where Y is selected from an carboxylate anion or sulfonate anion, R1, R2, and R3 are independently selected from unsubstituted C1-C8 alkyl, substituted C1-C8 alkyl, aryl and arylene-hydroxyl; X1, X2, and X3 are independently selected from direct valence bond and C1-C8 alkylene group, and, n=1, 2 or 3. The invention further relates to a process for using the composition.
US08623587B2 Residue removing liquid composition and method for cleaning semiconductor element using same
Provided are a residue removing liquid composition capable of completely removing a resist residue and a titanium (Ti)-derived residue that remains after dry etching and ashing in via hole formation in a production process for a semiconductor substrate having metal wiring of aluminum (Al) or an Al alloy, at a low temperature in a short time, not corroding parts of an interlayer insulating material, a wiring material and others, and a cleaning method for semiconductor devices using it.The residue removing liquid composition contains (A) ammonium fluoride, (B) methanesulfonic acid, (C) a carbon-carbon triple bond-having compound, (D) a water-soluble organic solvent, and (E) water, wherein the content of (A), (C), (D) and (E) in the residue removing liquid composition is from 0.005 to 2% by mass, from 0.1 to 10% by mass, from 60 to 75% by mass and from 5 to 38% by mass, respectively, and (B) is contained in an amount of from 0.9 to 1.5 times (by mol) the amount of (A).
US08623577B2 Acrylic ester compound and manufacturing intermediate thereof, method for manufacturing acrylic ester compound, and latent electrostatic image bearing member, image forming method, image forming apparatus and process cartridge
To provide: a latent electrostatic image bearing member including one of a cured material obtained through radical polymerization of a radically polymerizable compound of component (A1), and a cured material obtained through radical polymerization of a radically polymerizable compound of component (A2) in the outermost layer, wherein the component (A1) includes two radically polymerizable groups and a substituted amino group which does not include a radically polymerizable group in a molecule, and the radically polymerizable group and the nearest substituted amino group which does not include an unsaturated bond in between are connected with two or more aromatic hydrocarbon compounds, and, the radically polymerizable compound of component (A2) includes a condensed polycyclic aromatic hydrocarbon formed by bonding a radically polymerizable group and a non-radically polymerizable substituted amine group through a condensed polycyclic aromatic hydrocarbon group; and an image forming apparatus, image forming method and process cartridge which uses thereof.
US08623566B2 Aircraft fuel cell system
An aircraft fuel cell system includes a fuel cell which has an oxidant inlet for supplying an oxygen-containing medium to the fuel cell. An oxidant supply line has a first end which is connected to the oxidant inlet of the fuel cell. A second end of the oxidant supply line is connectable to a used air outlet of a cabin of the aircraft. A heat exchanger is located in the oxidant supply line and thermally couples the oxygen-containing medium flowing through the oxidant supply line and a second medium flowing through an air conditioning process air line of an air conditioning unit, the heat exchanger being located downstream of a cabin air compressor in the air conditioning process air line.
US08623563B2 Method for starting-up solid oxide fuel cell system
An SOFC system is started-up efficiently in a short time while letting a hydrogen concentration in a reformed gas high. A method for starting-up an SOFC system including a reformer having a reforming catalyst, and an SOFC which uses the reformed gas as a fuel, in which, catalyst A having POX function and catalyst B having SR function are used as the reforming catalyst, the method including the steps of: increasing the temperature of catalyst A, by combustion heat or electricity, to a temperature at which POX reaction can proceed; increasing the temperature of catalyst B by POX reaction heat, increasing the temperature of SOFC by feeding the reformed gas to an anode and heating catalyst B by combustion heat generated from combustion of a reformed gas discharged from the anode, or increasing the temperature of catalyst B by POX reaction heat, increasing the temperature of SOFC by feeding a combustion gas produced from combustion of the reformed gas to a cathode and heating catalyst B by this combustion gas; and reducing the proportion of POX reaction or stopping POX reaction and perform SR, after catalyst B is heated to a temperature at which SR reaction can proceed.
US08623560B2 Method and arrangement to control the heat balance of fuel cell stacks in a fuel cell system
A method to control the heat balance of fuel cell stacks in a fuel cell system, the fuel cell system including at least one fuel cell unit including fuel cell stacks, whose fuel cells include an anode side and a cathode side, as well as an electrolyte interposed therebetween, and a recuperator unit for heat exchange for preheating a supply flow of the cathode side. In the method, a desired portion is separated from the fuel exhaust flow coming from the anode side and adapted to be mixed with the cathode side exit flow before said recuperator unit. Also provided is a fuel cell system implementing the method.
US08623554B2 Electrode material, and production method and use thereof
An electrode material comprising a particle containing at least one member selected from the particles containing silicon, tin, silicon compound and tin compound, and fibrous carbon. The particle includes: (1) a particle comprising at least one member of a silicon particle, tin particle, particle containing a lithium-ion-intercalatable/releasable silicon compound and particle containing a lithium-ion-intercalatable/releasable tin compound; or (2) a particle comprising a silicon and/or silicon compound-containing carbonaceous material deposited onto at least a portion of the surfaces of a carbon particle having a graphite structure. The lithium secondary battery using the electrode material as a negative electrode has high discharging capacity and is excellent in cycle characteristics and characteristics under a load of large current.
US08623551B2 Positive-electrode active material for lithium ion battery, positive electrode for lithium ion battery, and lithium ion battery
The present invention provides a positive electrode active material for a lithium ion battery having good battery performance can be provided. The positive electrode active material for a lithium ion battery is represented by; Compositional formula:LixNi1−yMyO2+α wherein M is one or more selected from Sc, Ti, V, Cr, Mn, Fe, Co, Cu, Zn, Ga, Ge, Al, Bi, Sn, Mg, Ca, B, and Zr, 0.9≦x≦1.1, 0
US08623546B2 Electrode structure and battery device manufacturing method
An electrode is provided with a metal terminal extending from a battery module main body, a bolt which has an expanded section configuring a retaining section at a rear end portion and penetrates the metal terminal upward, and an insulating body which insulates the metal terminal and the battery module case one from the other. The insulating body is provided with a drop preventing section which abuts at least a lower surface of the expanded section of the bolt and prevents the bolt from dropping from the metal terminal.
US08623544B2 Electrode structure and battery device manufacturing method
An electrode is provided with a metal terminal extending from a battery module main body, a bolt which has an expanded section configuring a retaining section at a rear end portion and penetrates the metal terminal upward, and an insulating body which insulates the metal terminal and the battery module case one from the other. The insulating body is provided with a drop preventing section which abuts at least a lower surface of the expanded section of the bolt and prevents the bolt from dropping from the metal terminal.
US08623543B2 Electric vehicle propulsion system and method utilizing solid-state rechargeable electrochemical cells
A vehicle propulsion system comprising a plurality of solid state rechargeable battery cells configured to power a drivetrain. In accordance with once aspect of the invention, a transportation system that is powered at least in part by electricity stored in the form of rechargeable electrochemical cells. According to an embodiment of the present invention, these cells are combined in series and in parallel to form a pack that is regulated by charge and discharge control circuits that are programmed with algorithms to monitor state of charge, battery lifetime, and battery health.
US08623542B2 PCM of improved assembling type structure and battery pack employed with the same
Disclosed herein is a protection circuit module (PCM) including a protection circuit for controlling overcharge, overdischarge, and overcurrent of a battery, wherein a pair of connection members are attached to the bottom of the PCM, while the connection members are electrically connected to the protection circuit, the connection members being constructed by bending a sheet material into a predetermined shape, to form groove-shaped connection structures into which plate-shaped electrode terminals of a battery cell are inserted and coupled. Each of the connection members includes a lower connection plate, a pair of rear extensions, and front extensions.
US08623539B2 Multilayer battery separator and method for manufacturing the same
A multilayer battery separator is provided. The multilayer battery separator includes a porous polyethylene (PE) film, and a porous thermal resistant film selected from a group consisting of: a weight ratio of polyvinylidene fluoride (PVDF) and cellulose of 90/10-40/60; a weight ratio of polyvinylidene fluoride and polyethylene glycol (PEG) of 99/1-85/15; and polyimide (PI), and combinations thereof. A method for manufacturing the multilayer battery separator is also provided.
US08623537B2 Rechargeable battery and battery module
A rechargeable battery that can improve heat dissipating characteristics is provided. The rechargeable battery includes: an electrode group including a positive electrode; an electrode group that has at least one terminal; a case that defines a recess that receives the electrode group wherein the case includes an opening that provides access to the recess; a film cover that extends over the opening so as to secure the electrode group within the recess of the case; and a heat dissipating member positioned on the case so as extend outward from the case to dissipate heat from the recess containing the electrode group. Multiple batteries can be assembled into modules where the batteries are stacked so that the film covers are protected from external impact.
US08623536B2 Battery module with partitioning wall therein
A battery module, including at least one unit battery having an electrode group and a battery casing, and at least one partitioning wall coupled to the at least one unit battery, wherein the at least one partitioning wall has a smaller size as compared to the at least one unit battery. The battery module may further include a plurality of alternating unit batteries and partitioning walls having at least one fixing member coupled to each unit battery.
US08623532B2 Rechargeable battery
In a rechargeable battery, a case is combined with an upper surface of a bare cell by being fixed to a lead plate electrically coupling a protection circuit board of a protection circuit module to the bare cell. Alternatively, the case is combined with the bare cell by being fixed to the protection circuit board so as not to be separated from the bare cell, thereby improving the reliability of the products.
US08623530B2 Glass substrate for a magnetic disk, magnetic disk and method of manufacturing a magnetic disk
A glass substrate for a magnetic disk, wherein, in regions with respect to two places arbitrarily selected on a surface of the glass substrate on its central portion side relative to its outer peripheral end, a surface shape with a shape wavelength in a band of 60 to 500 μm is extracted from surface shapes in each of the regions and, assuming that a root mean square roughness Rq of the surface shape is given as a microwaviness Rq, the difference between the microwavinesses Rq of the regions is 0.02 nm or less or the difference between standard deviations of the microwavinesses Rq of the regions is 0.04 nm or less.
US08623508B2 Crystallized silicon-containing material with hierarchical and organized porosity
Material with hierarchical and organized porosity in the microporosity and mesoporosity domains, consisting of at least two elementary spherical particles, each one of said particles comprising a matrix based on silicon oxide, mesostructured, having a mesopore diameter ranging between 1.5 and 30 nm and exhibiting microporous and crystallized walls of thickness ranging between 1 and 60 nm, said elementary spherical particles having a maximum diameter of 200 microns. The preparation of said material is also described.
US08623506B2 Non-covalently bonding anti-microbial nanoparticles for water soluble wood treatment
Embodiments described herein include capped nanoparticles having a nanoparticle core and at least one capping agent including a biochemical constituent of wood or a woody plant or a derivative thereof functionally associated to the nanoparticle core. Some embodiments provide for wood products and wood treatment compositions including such capped nanoparticles, and methods for preparing and using such capped nanoparticles to produce treated wood or treated wood products.
US08623502B2 Clear-coated stainless steel sheet with excellent pressure mark resistance and scratch resistance
This clear-coated stainless steel sheet includes: a stainless steel sheet; and a clear-coated film coated on either one or both of two main surfaces of the stainless steel sheet, wherein the clear-coated film contains a thermosetting resin composition (A) and resin beads (B) at a content in a range of 0.5 parts by mass to 4.0 parts by mass relative to 100 parts by mass of a solid content of the thermosetting resin composition (A), the thermosetting resin composition (A) includes an acrylic resin (A1) and a cross-linked curing resin (A2) composed of a blocked isocyanate resin and an amino resin which cross-link and cure the acrylic resin (A1), the acrylic resin (A1) includes one or more cross-linking functional groups selected from a hydroxyl group, a carboxylic group, and an alkoxy silane group, and the acrylic resin (A1) has a glass transition temperature of 30° C. to 90° C., and has a number average molecular weight of 3000 to 50000.
US08623499B2 Thin films including nanoparticles with solar reflectance properties for building materials
Disclosed are solar-reflective building materials, including roofing articles, that include nanoparticle-containing thin films; such articles display high reflectance of near-infrared radiation and high transmission of radiation in the visible light range so as to reduce the heat island effects experienced by the articles while also maintaining an aesthetically pleasing appearance. Also disclosed are related methods of fabricating such articles.
US08623498B2 Transparent electroconductive laminate and transparent touch panel
A transparent conductive laminate for obtaining a transparent touch panel improved in the durability in writing which has been required of conventional transparent touch panels and in durability in writing in marginal regions of the transparent touch panel (margin pushing durability). Also provided is a transparent touch panel employing the laminate. The transparent conductive laminate comprises a polymer film and, superposed on at least one side thereof in the following order, a cured resin layer, a transparent conductive layer (1), and another transparent conductive layer (2). The transparent conductive layer (1) is a crystalline transparent conductive layer containing no organic ingredient. The transparent conductive layer (2) comprises: an ionizing-radiation-cured resin, thermoplastic resin, polymer of a metal alkoxide other than alkoxysilanes, or thermally cured/crosslinked resin; and at least one kind of fine particles (A) of a conductive metal oxide or metal which have an average primary-particle diameter of 100 nm or smaller.
US08623489B2 Antiskid dunnage
The dunnage can be used for protecting edges of articles such as wood furniture during shipping and has a honeycomb panel having a first and second opposed faces, and a first and second adjacent panel sections folded relative to each other along a V-groove extending into the first face, a layer of antiskid cohesive material applied on the V-groove and maintaining said first and second adjacent panels in said folded configuration, and also applied on a substantial portion of one of said first and second adjacent panel sections, on the first face. The cohesive on the exposed face of the folded dunnage acts as an antiskid which reduces the likelihood of the dunnage sliding once applied to the edge of the article, and also reduces the abrasiveness of the honeycomb panel. A process of production is also disclosed where the V-groove can be made on line.
US08623474B2 Liquid crystal display and method of manufacturing the same
A liquid crystal display utilizing a vertically aligned state of liquid crystal molecules when no voltage is applied and to a method of manufacturing the same. Objectives include providing a liquid crystal display and a method of manufacturing the same in which the existing step for forming vertical alignment films can be omitted to achieve a cost reduction. The liquid crystal display includes a monofunctional monomer having a structure expressed by X—R (where X represents an acrylate group or a methacrylate group, and R represents an organic group having a steroid skeleton). A liquid crystal material is sandwiched between substrates, which are then irradiated with ultraviolet rays to cure the monofunctional monomer, thereby forming a polymer film at an interface of a substrate. The monofunctional monomer has a hydrophobic skeleton such as an alkyl chain and a photoreactive group on one side of the skeleton.
US08623472B2 Cellulose acylate film and method for producing same, retardation film, polarizing plate, and liquid crystal display device
A cellulose acylate film is provided and has a surface where a first in-plane orientation in a portion from 0 to 3 μm in depth from the surface is lower than a second in-plane orientation in a portion from 3 μm to 10 μm in depth from the surface.
US08623469B2 Method of heating dispersion composition and method of forming glass pattern
Provided are a method of heating a composition which is applicable to a substrate provided with a material having low heat resistance and a method of forming a glass pattern which leads to reduction of cracks. A composition formed over a substrate is irradiated with a laser beam to bake the paste through local heating. Scan with the laser beam is performed so that there can be no difference in the laser beam irradiation period between the middle portion and the perimeter portion of the composition. Specifically, irradiation with the laser beam is performed so that the width of the beam spot overlapping with the composition in the scanning direction is substantially uniform.
US08623468B2 Methods of fabricating metal hard masks
Methods of fabricating a metal hard mask and a metal hard mask fabricated by such methods are described. The method includes flowing at least one metal reactant gas into a reaction chamber configured to perform chemical vapor deposition (CVD), wherein the at least one metal reactant gas includes a metal-halogen gas or a metal-organic gas. The method further includes depositing a hard mask metal layer by CVD using the at least one metal reactant gas.
US08623465B2 Coat film forming method and coat film forming apparatus
A coating membrane forming method for forming a coating membrane on an object to be coated, the method comprising the steps of: setting the object to be coated for forming a coating membrane thereon in a dipping tank; sending an application liquid for forming the coating membrane into the dipping tank to raise a liquid level of the application liquid till the top of a region for forming the coating membrane thereon in the object to be coated is immersed in the application liquid; and thereafter discharging the application liquid outside the dipping tank to lower the liquid level of the application liquid.
US08623461B2 Metal components with silicon-containing protective coatings substantially free of chromium and methods of forming such protective coatings
A metal component (10) with a protective coating (16) containing silicon and a process for forming such protective coatings (14). The protective coating (16) is formed by applying a silicon-containing fluid composition to the metal component (10) as a silicon-containing layer (12) and heating the silicon-containing layer (12) to a temperature exceeding 400° F.
US08623459B2 Substrates with biopassive coating
The present invention provides a process for imparting decreased adhesion of biological material to the surface of a substrate comprises the following steps (i) oxidizing the surface of the substrate (ii) applying a composition comprising one or more ethylenically unsaturated compounds to the oxidized surface of the substrate and (iii) curing the composition in order to form a coating layer.
US08623457B2 Vacuum processing system
A vacuum processing system includes a transfer chamber configured to form a vacuum atmosphere through which a target object is transferred. A transfer mechanism is disposed in the transfer chamber and configured to transfer the target object. A process chamber is connected to the transfer chamber through a first gate valve and configured to perform a process on the target object within a vacuum atmosphere. A first exhaust port is formed in a bottom of the transfer chamber at the foot of the first gate valve. A first gas exhaust section is connected to the first exhaust port and configured to exhaust gas inside the transfer chamber.
US08623453B2 Method for restoring antimicrobial hydrophilic coatings
A method for restoring a silver oxide-containing hydrophilic coating includes heating the coating to a temperature between about 260° C. and about 540° C. and maintaining the coating at a temperature between about 260° C. and about 540° C. in an environment containing oxygen and ozone for less than 24 hours.
US08623451B2 Large-scale lateral nanowire arrays nanogenerators
In a method of making a generating device, a plurality of spaced apart elongated seed members are deposited onto a surface of a flexible non-conductive substrate. An elongated conductive layer is applied to a top surface and a first side of each seed member, thereby leaving an exposed second side opposite the first side. A plurality of elongated piezoelectric nanostructures is grown laterally from the second side of each seed layer. A second conductive material is deposited onto the substrate adjacent each elongated first conductive layer so as to be coupled the distal end of each of the plurality of elongated piezoelectric nanostructures. The second conductive material is selected so as to form a Schottky barrier between the second conductive material and the distal end of each of the plurality of elongated piezoelectric nanostructures and so as to form an electrical contact with the first conductive layer.
US08623442B2 Palatable beverages and compositions with cocoa extract
The present subject matter relates to palatable beverages and compositions such as non-chocolate flavored water soluble dry powder compositions comprising a cocoa extract which comprises cocoa polyphenols, and an edible acid. The present subject matter further relates to processes for formulating said beverages and compositions.
US08623436B2 Coffee brewing method
An improved coffee brewing apparatus and method is shown. The method can include setting a permeable disk over coffee grounds in the filter of a conventional drip coffee maker, placing water on the permeable disk, and compressing the coffee grounds with a weight of the water on the permeable disk. The method can include percolating the coffee grounds with the water distributed uniformly with the permeable disk for brewing a coffee beverage. The method can also include passing the coffee beverage to an outlet. The method, in one embodiment, can include mixing contents within a booster pack to the coffee beverage.
US08623422B2 Combination treatment with strontium for the prophylaxis and/or treatment of cartilage and/or bone conditions
A combination treatment, wherein a strontium-containing compound together with one or more active substances capable of reducing the incidence of bone fracture and/or increasing bone density and/or improving healing of fractured bone and/or improving bone quality are administered for use in the treatment and/or prophylaxis of cartilage and/or bone conditions.
US08623406B2 Stable pharmaceutical composition and methods of using same
The present invention relates to, inter alia, pharmaceutical compositions comprising a polyunsaturated fatty acid and to methods of using the same to treat or prevent cardiovascular-related diseases.
US08623400B2 Drug-carrying contact lens and method for fabricating the same
The present invention discloses a drug-carrying contact lens and a method for fabricating the same. The drug-carrying contact lens comprises a contact lens containing at least one amphiphatic hybrid nanocarrier carrying drug molecules. According to the heat or light sensitivity of the drug molecule, the present invention respectively fabricates an encapsulation-type drug-carrying contact lens and a drug-soaking type drug-carrying contact lens. The present invention uses a highly-biocompatible amphiphatic hybrid nanocarriers having superior drug encapsulation capability to wrap the drug molecules. Thereby, the drug molecules are uniformly distributed in the contact lens and can be gradually and locally released to the eye of the user wearing the contact lens. Therefore, the present invention can prevent or cure ocular diseases with the loss and side effects of the drug being reduced.
US08623397B2 Delivery device and method for forming the same
A delivery device includes a hollow container, and a plurality of biodegradable and/or erodible polymeric layers established in the container. A layer including a predetermined substance is established between each of the plurality of polymeric layers, whereby degradation of the polymeric layer and release of the predetermined substance occur intermittently. Methods for forming the device are also disclosed herein.
US08623395B2 Implantable therapeutic device
A therapeutic device to release a therapeutic agent comprises a porous structure coupled to a container comprising a reservoir. The reservoir comprises a volume sized to release therapeutic amounts of the therapeutic agent for an extended time when coupled to the porous structure and implanted in the patient. The porous structure may comprise a first side coupled to the reservoir and a second side to couple to the patient to release the therapeutic agent. The length of the channels extending from the first side to the second side may comprise an effective length greater than a distance across the porous structure from the first side to the second side. The therapeutic device may comprise a penetrable barrier to inject therapeutic agent into the device when implanted in the patient.
US08623391B2 Compositions of dibromomalonamide and their use as biocides
A biocidal composition comprising 2,2-dibromomalonamide and an aldehyde-based biocidal compound, and its use for the control of microorganisms in aqueous and water-containing systems.
US08623387B2 External preparation composition comprising fatty acid-based ionic liquid as active ingredient
Disclosed is an external preparation composition having good transdermal absorbability. An external preparation composition having excellent transdermal absorbability can be produced by dissolving a medicinal substance or a salt thereof in a fatty acid-based ionic liquid to form a composite ionic composition of the medicinal substance. The external preparation composition can be used as a liquid preparation, an ointment, a cream, a plaster or the like, and enables to provide a preparation having excellent transdermal absorbability.
US08623360B2 Antigen-binding molecule capable of binding to two or more antigen molecules repeatedly
The present inventors discovered that antibodies having weaker antigen-binding activity at the early endosomal pH in comparison with that at the pH of plasma are capable of binding to multiple antigen molecules with a single antibody molecule, have long half-lives in plasma, and have improved durations of time in which they can bind to antigen.
US08623355B2 Methods for suppressing acute rejection of a heart transplant
The effect of anti-IL-6 receptor antibodies in suppressing cytotoxic T cell induction was examined. The results showed that CTL activity against alloantigens was statistically significantly reduced in mice treated with anti-IL-6 receptor antibodies as compared to mice not treated with antibodies and mice treated with a control antibody. The anti-IL-6 receptor antibody was also administered to recipients of a mouse model for allogenic heart transplantation. As a result, histopathological findings showed that inflammatory cell infiltration into transplanted hearts was suppressed and the survival period of transplanted hearts was significantly prolonged. Thus, the present inventors for the first time discovered that administration of anti-IL-6 receptor antibodies could suppress cytotoxic T cell induction and thereby suppress acute rejection after transplantation.
US08623350B1 Protease inhibitor: protease sensitivity expression system and method improving the therapeutic activity and specificity of proteins and phage and phagemids delivered by bacteria
The present invention uses co-expression of protease inhibitors and protease sensitive therapeutic agents that results in their localized production within the target tissue and inactivation outside of the target tissue, thereby increasing therapeutic activity and reducing the systemic toxicity. Inactivation is also accomplished by engineering protease degradation sites within the therapeutic construct for proteases, preferably those that are under-expressed within the target tissue yet present in non-target tissues within the body, resulting in therapeutic activity within the target tissue and inactivation outside of the target tissue. Novel chimeric proteins secreted by bacteria are also described. The chimeric proteins include chimeric toxins targeted to neoplastic cells and cells of the immune system. Novel combination therapies of these protease inhibitor:chimeric toxin-expressing bacteria together with small-molecule and biologic agents are also described. Non-conjugative bacteria capable of delivering phage/phagemids expression cassettes for DNA and RNA-based therapeutics are also described.
US08623348B2 Interferon-α (IFN-α) fused proteins comprising IFN-α and a cytoplasmic transduction peptide (CTP)
Disclosed is an interferon-α (IFN-α) fused protein having IFN-α fused to a cytoplasmic transduction peptide (CTP). The disclosure relates to a fused protein wherein a CTP, which binds well to cell-membrane barriers and enables translocation into the liver, is genetically fused to a human IFN-α, thereby enhancing the conjugation capacity of cell membranes and antiviral activity, inhibiting CTP transport into the cell nucleus, and enhancing the translocation and settlement of the fused protein into the liver and of transduction to the liver tissue. Accordingly, it is possible to develop protein-based medicines effective for preventing or treating various liver diseases associated with viral infection at low doses.
US08623347B2 Antiviral drugs for treatment of arenavirus infection
Compounds, methods and pharmaceutical compositions for treating viral infections, by administering certain compounds in therapeutically effective amounts are disclosed. Methods for preparing the compounds and methods of using the compounds and pharmaceutical compositions thereof are also disclosed. In particular, the treatment and prophylaxis of viral infections such as caused by the Arenavirus family is disclosed.
US08623346B1 Animal attractant compositions, devices, systems and methods
Animal attractant compositions, devices, systems and methods that can be deployed to attract game animals, such as deer by stimulating several of the animal's senses to attract animals to a desired location. The invention can use a combination of ultraviolet brighteners for visual interest and one or more of deer urine based scents, foods, mineral or salt. The invention capitalizes on the link between many animals' ability to see ultraviolet light with their desire to visualize urine territory markers left by other animals of the same species.
US08623338B2 Methionine-derived peptidomimetics and their use in the protection of mitochondria of cutaneous cells
The invention concerns a methionine-derived peptidomimetic represented by the following general formula (II): R═X—C(O)—NH— with X=alkyl or alkyloxy (C1-C4); R′═H The invention also concerns a composition for preventing or fighting cutaneous disorders associated to a mitochondrial dysfunction, and the use of a methionine-derived peptidomimetic as a cosmetic agent for protecting and/or stimulating the mitochondria of cutaneous cells.
US08623337B2 Endohedral fullerenes having enclosed therein one or more ozone molecules, and their use as a UV-absorbing agent
The present application discloses novel endohedral fullerenes having enclosed therein one or more ozone molecules, e.g. fullerenes selected from C60-fullerene (Buckminsterfullerene), C70-fullerene, C76-fullerene, C78-fullerene, C82-fullerene, C84-fullerene, and C120-fullerene. The application further discloses a composition comprising the endohedral fullerene and a carrier material, e.g. where the carrier material is a skin lotion, such as a skin lotion comprising L-ascorbic acid or Vitamin E. Moreover, various uses of the novel fullerenes are disclosed, e.g. for skin UV-protection; in or on the surface of sun glasses; in or on the surface of window glass; in or on the surface of textiles, fabrics, clothes, wood, paint, paper, cushions, leather, hair-care products, and plants.
US08623336B2 Transparent xyloglucan/chitosan gel and a process for the preparation thereof
The present invention provides a transparent Xyloglucan/Chitosan gel and a process to prepare the same which comprises of extracting the xyloglucan from tamarind seed powder by a suitable solvent at a high temperature and precipitating the xyloglucan by alcohol and then modifying the xyloglucan to form dialdehyde and making a co-polymer with chitosan to form a thermo stable crystal clear, colourless gel which is stable at temperature −20 to 90. A transparent chitam gel and a process for the preparation thereof C and pH 3-7, having an average molecular weight of 4730 KDa and a viscosity of 4100 centi Poises at 28±2° C., not digested by digestive enzymes in humans and does not contribute to calorie intake can be used as a food ingredient, and supplement functional foods (nutritional care). This Xyloglucan/Chitosan gel has applications in the area of cosmetic and personal care products, as an ultraviolet protective agent or as a tissue adhesive which can be used, including haemostasis, wound sealing, tissue engineering or localised drug delivery as capsules & tablets.
US08623334B1 Topical anesthetic
A topical anesthetic is provided containing about 3 wt % to 10 wt % tetracaine in a vehicle suitable for administration to the mucosa. The vehicle for transporting the tetracaine includes a water soluble mucoadhesive or a combination of mucoadhesives such as a high molecular weight poly(ethylene oxide) homopolymer and a cellulose polymer. The vehicle also includes propylene glycol. The tetracaine is ground into a powder and is suspended in a plasticized hydrocarbon gel which completes the vehicle.
US08623326B2 Ghrelin analogues
Ghrelin analogues having high affinity for a target receptor in diseased cells are provided, as well as methods of diagnosis and treatment utilizing such analogues.
US08623325B2 Azide modified charge sensitive channel proteins
The present invention describes the modification of polypeptides, more particularly channel proteins with a thiol reactive agent so as to introduce an azide group. The present invention further describes vesicles comprising channel proteins modified according to the invention, which upon reaction with a phosphine open up thereby releasing the content of the vesicles. The reagents, polypeptides and vesicles described in the present invention have in vivo and in vitro applications in both drug delivery and imaging.
US08623311B2 Gallium-doped phosphocalcic compounds
The present invention relates to a gallium-doped phosphocalcic compound of formula (I): Ca(10.5-1.5x)Gax(PO4)7  (I) wherein 0
US08623307B2 Process gas treatment system
A system is arranged to remove carbon dioxide (CO2) from a gas stream by bringing the gas stream into contact with a circulating ammoniated solution stream such that CO2 is removed from the gas stream by the ammoniated solution stream. A method of removing non-volatile compounds from the circulating ammoniated solution stream includes: introducing a portion of the circulating ammoniated solution stream into a gas-liquid separating device; and separating the introduced ammoniated solution into an ammonia rich gas phase and a liquid phase comprising the non-volatile compounds; and reintroducing the ammonia rich gas phase into the circulating ammoniated solution stream.
US08623306B2 Method for use in connection with an exhaust gas post-treatment system
A method for use with an exhaust gas post-treatment system on an internal combustion engine operated with air surplus includes reducing nitrous oxides in that an ammonia-separating reducing agent is added to the exhaust gas flow upstream of a catalyst which is charged with a catalyst material for selective catalytic reduction of nitrous oxides. The method further provides that the NH3 to NOx ratio (feed ratio α) is varied in phases by changing the untreated nitrous oxide emissions of the internal combustion engine such that the feed ratio α oscillates in phases about a predefined value.
US08623304B2 Method and device for treating chlorine bypass dust and discharge gas
In accordance with the present invention, there is provide and device and method to facilitate the treatment of chlorine bypass dust while preventing increases in chemical cost and concentrations of heavy metals in clinker, and ensuring stability in quality of cement, and to treat chlorine bypass exhaust gas while avoiding coating troubles in a cement kiln etc., and preventing heat losses in a preheater etc., without degradation of clinker production amount.
US08623303B2 Process for the removal of hydrogen cyanide and formic acid from synthesis gas
A process for the catalytic removal of hydrogen cyanide, formic acid and formic acid derivatives from synthesis gas comprising these compounds, carbon monoxide and hydrogen, the process comprising contacting the synthesis gas with a catalyst comprising one or more metals selected from the group consisting of silver, gold, copper, palladium, platinum and their mixtures and supported on a carrier comprising at least one of the oxides of scandium, yttrium, lanthanum, cerium, titanium, zirconium, aluminum, zinc, chromium and molybdenum.
US08623301B1 Solid oxide fuel cells, electrolyzers, and sensors, and methods of making and using the same
The present invention provides solid oxide fuel cells, solid oxide electrolyzer cells, solid oxide sensors, components of any of the foregoing, and methods of making and using the same. In some embodiments, a solid oxide fuel cell comprises an air electrode (or cathode), a fuel electrode (or anode), an electrolyte interposed between the air electrode and the fuel electrode, and at least one electrode-electrolyte transition layer. Other embodiments provide novel methods of producing nano-scale films and/or surface modifications comprising one or more metal oxides to form ultra-thin (yet fully-dense) electrolyte layers and electrode coatings. Such layers and coatings may provide greater ionic conductivity and increased operating efficiency, which may lead to lower manufacturing costs, less-expensive materials, lower operating temperatures, smaller-sized fuel cells, electrolyzer cells, and sensors, and a greater number of applications.
US08623300B2 Biopsy support with sectionable resilient cellular material
A histologic tissue sample support device includes a tissue support formed of material that can be successfully sectioned in a microtome and is resistant to degradation from solvents and chemicals used to fix, process and stain tissue. A resilient cellular material is coupled to the tissue support and is configured to engage and retain tissue in place during processing and embedding. The resilient cellular material is also capable of successful sectioning in the microtome and porous to allow infiltration of the solvents and chemicals used to fix, process and stain tissue, and of embedding material used to embed the tissue while the tissue is retained by the resilient cellular material.
US08623298B2 Syringe with exchangeable needle
A syringe comprises a syringe body (12) having an axial inner recess (14) extending in the direction of a longitudinal axis of the syringe body (L), a piston (16) being guided in an axially moveable manner in said inner recess and contributing to the delimitation of a fluid-receiving volume together with a section of a wall (14a) radially delimiting the inner recess (14), and having a needle (24) that can be or is connected to a longitudinal end (12a) of the syringe body (12), wherein the needle (24) has a coupling geometry (28) at the longitudinal end (24a) thereof that is closer to the syringe body, wherein the needle (24) can be connected by said coupling geometry to a counter coupling geometry (30) of the syringe body (12), wherein the geometry composed of the coupling geometry (28) and counter coupling geometry (30) comprises an insertion section (32), which in the assembled state of the syringe (10) is received in a coupling recess (34) of the respectively other geometry, and wherein furthermore safety means (46) are provided, preventing a retraction movement of the insertion section (32) from the coupling recess (34) in the assembled state of the syringe (10).
US08623290B2 Color-changing materials and multiple component materials having a color-changing composition
The present invention relates to a color-changing material and to a multiple-component material that includes a color-changing composition. The color-changing materials and color-changing compositions are capable of changing color in order to indicate a change in condition, such as a change in pH. The color-changing materials and color-changing compositions include a hydrogel-forming composition, a charged colorant and a pH adjuster. The hydrogel-forming composition includes at least one charged species.
US08623277B2 Apparatus for flamer fuel pressure control
A flamer may be used to sterilize poultry litter, soil, concrete, etc. The stationary or mobile flamer disclosed comprises a hood to contain the heat, an external frame, and burners. A fuel tank may be carried on the flamer or on a tractor. An additional embodiment provides for mounting the flamer on wheels, permitting the unit to be towed by a truck, four-wheeler, tractor, etc. The burners are adjustable as to angle, and fueling rate. A pressure in the fuel system is controlled by selectively switching between the liquid and vaporous components of the fuel. By limiting how low the pressure may fall, the flow of fuel from the tank to the torches is more consistent.
US08623274B2 Chitosan-based hemostatic textile
A microfibrillar high molecular weight chitosan-based textile can be used as a hemostat. The chitosan has been treated in a nitrogen field by applying energy to ionize nitrogen in and around the chitosan textile. A single or multiple such treatments may be employed. For example, the chitosan textile may be irradiated under nitrogen using γ-irradiation, treated under a nitrogen plasma, or both.
US08623271B2 Apparatus for inducing flow in a molten material
Apparatus for inducing flow in a molten material comprises a refractory lined vessel (10) for containing a molten material with an aperture (35, FIG. 3) in the refractory lining. A mounting plate (40, FIG. 4) of non-magnetic material is removably mounted to the vessel over the aperture and an electromagnetic induction unit (14) is mounted adjacent an exterior face of the mounting plate. A cooling system is provided for cooling the mounting plate. The mounting plate may have vanes (72, FIG. 6) on an outer surface to define cooling channels (74, FIG. 6) through which a cooling fluid can flow. The vanes may follow a non-linear path and the cooling fluid may be air.
US08623269B2 Hopper and reduction device using the same
The present disclosure relates to a hopper and a reduction device using the same. The hopper and the reduction device can be used to refining a material using thermal reduction reaction. The reduction device has a body defining cavity and a hopper, wherein the hopper is slidably disposed in the cavity.
US08623251B2 Extruded and configured lathe-cut packer elements
A process for making packer elements for use in sealing an area between surfaces in a wellbore and packer elements formed from that process. The process includes extruding an elastomeric material to form a tubular structure and machining the outer surface of the tubular structure to provide a desired surface geometry. The tubular structure may be machined to provide a contoured surface geometry. The tubular structure with a contoured surface geometry may be machined to form a plurality of packer elements, which are separated by cutting the tubular structure.
US08623250B2 Method for producing a multi-layered object
The invention relates to a method of manufacturing a multilayer object in synthetic resin (9) by compression molding a dose of molten multilayer resin (1) comprising at least one functional layer (2,20), each layer of the dose being in the molten state at the time of compression; the method consisting at least in co-extruding the resins through a die, in cutting the extrudate periodically in order to obtain a dose (1), then in depositing the dose (1) in the molten state in the cavity of a mold; the method thereby defining, within the dose, an extrusion direction and an arrangement of the functional layer (2,20) parallel to the extrusion direction; the method being characterized in that the dose is compressed along a compression axis (16) which intersects the extrusion direction, so as to introduce, into the flow of the layers, asymmetry with respect to the compression axis (16).The invention also relates to an object obtained with the aforementioned method, to a dose used in the context of this method and to a device for implementing the said method.
US08623238B2 Mesogenic stabilizers
The present invention relates to compounds represented by the following Formula Ic, in which R2-R6 can each independently be selected from hydrogen or hydrocarbyl (e.g., methyl); L1 and L4 are each independently a divalent linking group, such as a bond or —OC(O)—R8—C(O)O—, where R8 can be divalent hydrocarbyl (e.g., —CH2CH2—); each L2 and each L5 each independently represent a flexible segment, such as divalent linear or branched C1-C25 alkyl; each L3 and each L6 each independently represent a rigid segment including, for example, optionally substituted phenylen-1,4-diyl groups; t and s are each independently from 1 to 4; m and p are each independently from 0 to 4 for each t, provided that the sum of m and p is at least 1 for each t; q and r are each independently from 0 to 4 for each s, provided that the sum of q and r is at least 1 for each s; and E1 and E2 can each independently be hydrogen or hydrocarbyl. The present invention also relates to compositions, such as liquid crystal compositions, and articles, such as optical elements, that include the compound represented by Formula Ic.
US08623233B2 Azeotropic and azeotrope-like compositions of Z-1,1,1,4,4,4-hexafluoro-2-butene, trans-1,2-dichloroethylene, and 1,1,1,3,3-pentafluorobutane
Azeotropic or azeotrope-like compositions are disclosed. The azeotropic or azeotrope-like compositions are mixtures of Z-1,1,1,4,4,4-hexafluoro-2-butene, trans-1,2-dichloroethylene and 1,1,1,3,3-pentafluorobutane. Also disclosed is a process of preparing a thermoplastic or thermoset foam by using such azeotropic or azeotrope-like compositions as blowing agents. Also disclosed is a process of producing refrigeration by using such azeotropic or azeotrope-like compositions. Also disclosed is a process of using such azeotropic or azeotrope-like compositions as solvents. Also disclosed is a process of producing an aerosol product by using such azeotropic or azeotrope-like compositions. Also disclosed is a process of using such azeotropic or azeotrope-like compositions as heat transfer media. Also disclosed is a process of extinguishing or suppressing a fire by using such azeotropic or azeotrope-like compositions. Also disclosed is a process of using such azeotropic or azeotrope-like compositions as dielectrics.
US08623227B2 Transmission electron microscope grid and method for making same
The present disclosure relates to a method for making a transmission electron microscope grid. The method includes: (a) providing a substrate with a graphene layer on a surface of the substrate; (b) applying a carbon nanotube film structure to cover the graphene layer; (c) removing the substrate, to obtain a graphene layer-carbon nanotube film composite structure; and (d) placing the graphene layer-carbon nanotube film composite structure on a grid.
US08623207B2 Loose-proof structure of a filter cartridge shell
A loose-proof structure of a filter cartridge shell, includes: a filter cartridge shell body, the filter cartridge shell body has an open end which includes several treads in its inside and an upper end surface, and an upper end cover, which is hermetically and spirally coupled with the filter cartridge shell body. The upper cover has a raised rafter edge. The raised rafter edge and the open end are equipped with a loose-proof fixed ring. a periphery surface of the raised rafter edge has loose-proof locking teeth, an inside surface of the loose-proof fixed ring has loose-proof tooth spaces matching with the loose-proof locking teeth. An external end surface of the open end has loose-proof scabs, the loose-proof fixed ring has loose-proof grooves on its bottom matching with the loose-proof scabs.
US08623195B2 High pressure electrolyser
An electrolyser (100) comprising an electrolysis cell stack (101) inside a pressure vessel (115), wherein the first terminal end plate (107a) of the cell stack is integral with one a closed ends of the pressure vessel, thus forming a stationary head (107) of the cell stack equipped with the fluid and electric connections, and the second terminal end plate (108a) of the cell stack is inside the vessel and is free to move in a longitudinal direction in response to thermal expansion or contraction, thus forming a floating head (108) of the stack. The pressure vessel (115) is preferably pressurized using a gaseous product obtained in the process of electrolysis.
US08623190B2 Device and method for performing maintenance on an apparatus in a flow duct
The invention relates to a device and a method for performing maintenance on an apparatus. The device has a flow duct with a wall section in which at least one apparatus which projects into the flow duct and which is to be reworked after a certain operating time is arranged. A vessel which is open towards the wall section is arranged to as to be movable relative to the wall section in such a way that in an open position it is arranged at a distance from the apparatus, and in a second position forms, together with the wall section, a sealed volume which is separated from the rest of the flow duct and in which the maintenance of the apparatus is performed.
US08623183B2 Electrode module
An electrode module includes a working electrode, a counter electrode, a reference electrode and a well (container) for retaining an electrolytic solution and is used in electrochemical measuring instruments. This electrode module is produced by integrating the well with at least one of the working electrode, the counter electrode and the reference electrode. This integrated electrode includes a chip-like electrode having a thin membrane of an electrode material formed on the surface of a chip-like base metal. This chip-like electrode is disposed on and integrated with the bottom of the well in a detachable manner. There is provided the compact, low price electrode module of high repetition use efficiency with no need of maintenance and having easy handling.
US08623182B2 Continuous vacuum deposition method
A continuous vacuum sputtering method includes the steps of providing a substrate; providing a continuous vacuum sputtering machine comprising a depositing chamber. The depositing chamber comprising at least one vacuum chamber, each vacuum chamber having a cathodic arc emitting source located therein; the substrate being loaded in the continuous vacuum sputtering machine; depositing a coating on the substrate by cathodic arc deposition using the cathodic arc emitting source.
US08623179B2 Seawater desalinization device
A seawater desalinization device includes a container portion, a guiding pipe, a plug, a heat conduction cover, a switch, and a connecting pipe. The container portion defines a receiving chamber, a slot, and a through hole communicating with the receiving chamber. The guiding pipe is fixed on the container portion to communicating with the slot. The plug is detachably inserted into the guiding pipe. The heat conduction cover covers on the container portion and sealing the receiving chamber and the slot. The switch is assembled in the container portion to control open or close the through hole upon a seawater level in the container portion. The connecting pipe is inserted into the through hole and a seawater source.
US08623178B2 Slitting-material slitting apparatus, inkjet paper manufacturing apparatus, method of manufacturing inkjet paper
There is provided a slitting-material slitting apparatus including: a rotatable male blade; a female blade that is provided below the male blade; and a wrap section that wraps a slitting-material around the female blade such that the slitting-material makes contact with the female blade on a coated layer side of the slitting-material configured by a support body with a coating layer on the support body harder than the support body; wherein the relative position of the male blade and the female blade are determined such that the male blade slits the slitting-material in a state in which the coating layer is wrapped against the female blade by the wrap section and in contact with the female blade.
US08623164B2 Shingle with reinforced nail zone and method of manufacturing
A method of making a laminated shingle is provided. The method includes coating a shingle mat with roofing asphalt to make an asphalt-coated sheet, adhering a reinforcement member to a portion of the asphalt-coated sheet, covering the asphalt-coated sheet, and optionally covering the reinforcement member, with granules to make a granule-covered sheet, dividing the granule-covered sheet into an overlay sheet and an underlay sheet, wherein the overlay sheet has a tab portion normally exposed on a roof and a headlap portion normally covered-up on a roof, the headlap portion having a lower zone adjacent the tab portion and an upper zone adjacent the lower zone, and wherein the reinforcement member is adhered to the lower zone of the headlap portion and laminating the overlay sheet and the underlay sheet to make the laminated shingle.
US08623159B2 Method for producing a continuous, three-dimensional, closed semi-finished product made of fiber composite
A method for producing a continuous, three-dimensional, closed semi-finished product made of fiber composite from at least one planar, flat fibrous preform. The method is characterized in that the flat fibrous preform is initially placed flat and aligned to produce a load-bearing fiber orientation and subsequently formed into a three-dimensional structure. In a final step, the three-dimensional structure is then closed to form a closed semi-finished product.
US08623153B2 Method to prepare a stable transparent electrode
The present application discloses a method for producing a stable ultra thin metal film that comprises the following steps: a) deposition, on a substrate, of an ultra thin metal film, such as an ultra thin film of nickel, chromium, aluminum, or titanium; b) thermal treatment of the ultra thin metal film, optionally in combination with an O2 treatment; and c) obtaining a protective oxide layer on top of the ultra thin metal film.
US08623146B2 Substrate processing method and substrate processing apparatus
A cooling gas discharge nozzle 7 is arranged above an initial position P(Rin) distant from a rotation center P(0) of a substrate W toward the outer edge of the substrate W and supplies a cooling gas to the initial position P(0) of the rotating substrate W to solidify DIW adhering to an initial region including the initial position P(Rin) and the rotation center P(0). Following formation of an initial solidified region FR0, a range to be solidified is spread toward the outer edge of the substrate W and all the DIW (liquid to be solidified) adhering to a substrate surface Wf is solidified to entirely freeze a liquid film LF.
US08623141B2 Piping system and control for semiconductor processing
A vacuum system for semiconductor fabrication. The system includes a vacuum chamber for performing a semiconductor fabrication process, a vacuum source, and a piping system fluidly connecting the vacuum chamber to the vacuum source. In one embodiment, the piping system is configured without a horizontal flow path section of piping. In some embodiments, the piping system includes a first piping branch and a second piping branch. The first and second piping branches preferably have a symmetrical configuration with respect to the vacuum source. In yet other embodiments, the first and second piping branches preferably each include a throttle valve.
US08623140B2 System and method for making a film having a matte finish
A system and a method for providing a film having a matte finish. The system includes means for providing a coated substrate, the coated substrate comprising a first coatable material applied to a substrate, the coatable material forming a first major surface of the coated substrate; means for changing the viscosity of the first coatable material from a first viscosity to a second viscosity; a face-side roller having an outer surface positioned to contact the first major surface of the coated substrate to impart a matte finish thereon; and optionally, means for hardening the first coatable material. The method of the invention includes the steps of (1) providing a coated substrate comprising a coatable material disposed on a substrate, the coatable material providing a first major surface of the coated substrate; (2) changing the viscosity of the coatable material from the initial viscosity to a second viscosity; (3) contacting the first major surface of the coated substrate with at least one face-side roller to impart a matte finish; and (4) optionally, hardening the coatable material to provide the film.
US08623127B2 Metal mold, process for manufacturing the same, and molded article produced using the mold
The mold has a mold surface on which is formed as a release film a water-repellent and oil-repellent fluorocarbon-based chemisorbed film having a thickness that is uniform in nanoscale and having a controlled surface energy. As a result, even when a mold has an ultrafine shape on the nanometer scale, the mold allows high precision molding excellent in fluidity and penetrativity of a molding material. In addition, this mold eliminates the application of a release agent and can prevent a release agent from adhering to a molded article.
US08623126B1 Pigment-based inkjet inks
An inkjet ink composition comprising: (a) water; (b) dispersed pigment particles; and (c) at least one humectant; wherein the pigment particles are present from about 4.5% by mass to about 10% by mass, and the pigment particles have a mass weighted mean Stoke's diameter of from about 35 nm to about 70 nm wherein the Stoke's diameter is determined by differential centrifugal sedimentation of the particles through a fluid in a disc centrifuge. The present invention employs specified sedimentation particle sizing criteria for selection of appropriate pigment particle sizes at high pigment concentrations to obtain improved ink performance. Further embodiments of the invention also demonstrate that the criticality of humectant types and levels employed in an ink can also become much more important at high pigment loading in order to obtain desired performance.
US08623121B2 Stable catalyst layers for hydrogen permeable composite membranes
The present invention provides a hydrogen separation membrane based on nanoporous, composite metal carbide or metal sulfide coated membranes capable of high flux and permselectivity for hydrogen without platinum group metals. The present invention is capable of being operated over a broad temperature range, including at elevated temperatures, while maintaining hydrogen selectivity.
US08623119B2 Compressor lubricant reclaiming process and system
A system and method for reclaiming compressor lubricants wherein a gas stream containing a lubricant contaminant is compressed to produce a compressed gas stream containing lubricant, the compressed gas stream being separated to produce a lubricant stream containing contaminant, the lubricant/contaminant stream being sent through a separator wherein the contaminant is separated from the lubricant.
US08623115B2 Alkaline and acid pressure oxidation of precious metal-containing materials
The present invention is directed to a precious metal recovery process in which an acid sulfidic feed material is subjected to acid pressure oxidation and an alkaline sulfidic feed material is subjected to alkaline pressure oxidation, with the discharge slurries from the pressure oxidation processes being combined to reduce neutralization requirements prior to precious metal recovery.
US08623112B2 Processes for preparing valve metal powders, powders prepared thereby and uses therefor
Processes comprising: melting a mixture comprising a valve metal precursor and a diluting agent in at least one first vessel under a first set of temperature and residence time conditions; transferring the mixture to at least one second vessel; and initiating, in the at least one second vessel, a reaction of the valve metal precursor to form a valve metal under a second set of temperature and residence time conditions; valve metal powder prepared thereby and uses therefor.
US08623108B2 Wear-resistant material
A wear-resistant material which comprises certain concentrations of carbon, nitrogen, oxygen, niobium/tantalum as well as other metallic elements. The material comprises a metal matrix and hard phases embedded therein. The hard phases comprise one or more of carbides, nitrides, carbonitrides, and oxide carbonitrides and have a diameter of from about 0.2 μm to about 50 μm. This abstract is neither intended to define the invention disclosed in this specification nor intended to limit the scope of the invention in any way.
US08623104B2 Gas oil composition production method
The present invention provides a gas oil composition that can achieve environment load reduction, low temperature properties and low fuel consumption all together and is suitably used in a winter season. The gas oil composition comprises an Ft synthetic base oil in an amount of 60 percent by mass or more on the basis of the total mass of the composition and has a sulfur content of 5 ppm by mass or less, an aromatic content of 10 percent by volume or less, an oxygen content of 100 ppm or less, an end point of 360° C. or lower, an insoluble content after an oxidation stability test of 0.5 mg/100 mL or less, an HFRR wear scar diameter (WS1.4) of 400 μm or smaller and a specific relation in normal paraffin contents and the total content thereof.
US08623084B2 Soft intraocular lens
A soft intraocular lens is provided, with IRHD hardness thereof being 40 to 60, wherein distance L is in a range of 3.75 mm-4.50 mm, which is a distance from an optical central axis of the optical part to a reference point of a supporting part side edge of the transition part, and an angle θ is in a range of 35°-50°, which is formed by a surface including the optical central axis and a reference point of the supporting part side edge of the transition part, and a surface including the optical central axis and in contact with a tip of the supporting part, with a width of the transition part being larger than a width of the supporting part, and a width Wm of the transition part in a middle of the optical part side edge and the supporting part side edge of the transition part being 1.5 times to 3 times of a width Ws of the supporting part.
US08623080B2 Biologically implantable prosthesis and methods of using the same
A method of using a prosthetic heart valve assembly can include implanting a first prosthesis directly into a native annulus. The first prosthesis can have a central longitudinal axis and a first engagement element. The method can also include engaging the first engagement element of the first prosthesis with a second engagement element of a second prosthesis to securely couple the second prosthesis to the first prosthesis. The second prosthesis can have a prosthetic valve. The first engagement element engages the second engagement element by moving the second prosthesis towards the first prosthesis in a direction generally parallel to the central longitudinal axis until the first engagement element engages the second engagement element.
US08623076B2 Low profile heart valve and delivery system
Apparatus for endovascularly replacing a patient's heart valve, including: a delivery catheter having a diameter of 21 french or less; an expandable anchor disposed within the delivery catheter; and a replacement valve disposed within the delivery catheter. The invention also includes a method for endovascularly replacing a heart valve of a patient. In some embodiments the method includes the steps of: inserting a catheter having a diameter no more than 21 french into the patient; endovascularly delivering a replacement valve and an expandable anchor to a vicinity of the heart valve through the catheter; and deploying the anchor and the replacement valve.
US08623072B2 Tubular prosthesis and associated kit
A tubular prosthesis is radially deformable and includes a lattice that is deformable between a retracted state of small diameter and an expanded state of larger diameter. The prosthesis includes at least two outer hooks delimiting between them a clamp for engaging in an outer tissue. The two hooks are carried by the lattice and can be moved between a spaced-apart position in which the clamp is open and a closer-together position in which the clamp is closed. The prosthesis includes a guiding member for moving at least one of the hooks during deformation of the prosthesis. The guiding member delimits a guide passage in which at least one of the hooks is engaged.
US08623067B2 Methods and apparatus for luminal stenting
Described herein are flexible implantable occluding devices that can, for example, navigate the tortuous vessels of the neurovasculature. The occluding devices can also conform to the shape of the tortuous vessels of the vasculature. In some embodiments, the occluding devices can direct blood flow within a vessel away from an aneurysm or limit blood flow to the aneurysm. Some embodiments describe methods and apparatus for adjusting, along a length of the device, the porosity of the occluding device. In some embodiments, the occluding devices allows adequate blood flow to be provided to adjacent structures such that those structures, whether they are branch vessels or oxygen-demanding tissues, are not deprived of the necessary blood flow.
US08623060B2 Cannulated bone screw
A small cannulated bone screw is provided having an optimized combination of strength, cannula size and thread depth. A set of such strong and small size screws is also provided where the smallest sizes can have one performance ratio emphasized over another, and the larger sizes can have the emphasis reversed. In particular, the smallest screws optimize the combination of their strength and cannula size with the larger screws optimizing the combination of their strength and thread depth. In any event, each of the screws in the set has an optimized combination of strength, cannula size and thread depth throughout the full range of screw sizes in the set, e.g. 3.0 mm to 7.5 mm major diameters.
US08623050B2 Device for anchoring a suture in tissue
A device for the anchoring of a suture in tissue includes a guide sleeve, a sonotrode, an anchor and the suture. The guide sleeve has a distal part with a smaller cross section and a proximal part with a larger cross section. The sonotrode extends through the lumen of the guide sleeve and has a distal end and a proximal end, the proximal end being designed for coupling the sonotrode to a vibration source (e.g. an ultrasonic device). The anchor is arranged at the distal end of the device and includes an anchor foot and an anchoring sleeve sitting on a shoulder of the anchor foot. The anchoring sleeve consists of a material which is liquefiable through mechanical vibrations. A middle portion of the suture runs through the anchor foot and two end portions of the suture are attached to the guide sleeve.
US08623045B2 Instrument with sealing device and methods of advancing fluid therethrough
Embodiments of the invention include a medical instrument with a sealing device. In embodiments, the medical instrument is endoscopic device having a seal between an elongate shaft member and/or on a portion of a handle to, for example, prevent flow communication between a lumen of the elongate member and the external environment.
US08623041B2 Puncture tool, device for measuring biological sample and system for measuring biological sample
Provided is a puncture tool having no possibility of starting the puncture operation of a puncture needle unexpectedly when the puncture tool is operated for a purpose other than measurement of a biological sample and also provided are a device for measuring biological sample and a system for measuring biological sample. A puncture tool (100) comprises a puncture mechanism (110), a puncture mechanism control section (120) which controls puncture operation of the puncture mechanism (110), a wireless communication section (140) which performs wireless authentication by receiving a wireless signal from an external registration apparatus (200), and a puncture control circuit (150) which removes restriction on puncture prohibition of the puncture mechanism control section (120) when authentication is established at the wireless communication section (140). The puncture tool (100) can perform operation for urging puncture only when a puncture permission signal is issued from an external registration apparatus (200) which is registered externally.
US08623039B2 Fluid injection device
A fluid injection device includes a fluid supply unit that accommodates and supplies fluid, a fluid injection unit that injects fluid supplied from the fluid supply unit, and a driving waveform generating device which is equipped with at least one adjusting device, a one-input multiple-control parameter changing unit that simultaneously changes plural control parameters for determining a fluid injection condition of the fluid injection unit on the basis of a signal from the at least one adjusting device, and a driving waveform generator that generates and outputs a driving waveform of the fluid injection unit on the basis of the control parameters set by the one-input multiple-control parameter changing unit.
US08623038B2 Re-treatment for ophthalmic correction of refraction
A planning device generating control data for a treatment apparatus for refraction-correcting ophthalmic surgery is provided, said apparatus using a laser device to separate a corneal volume, which is to be removed for correction, from the surrounding cornea by at least one cut surface in the cornea of an eye, said planning device comprising an interface for receiving corneal data including information on pre-operative cuts which were generated in a previous ophthalmic operation, and computing means for defining a corneal cut surface which confines the corneal volume to be removed, said computing means defining the corneal cut surface on the basis of the corneal data and generating a control dataset for the corneal cut surface for control of the laser device.
US08623028B2 Surgical port feature
A robotic surgical system is configured with rigid, curved cannulas that extend through the same opening into a patient's body. Surgical instruments with passively flexible shafts extend through the curved cannulas. The cannulas are oriented to direct the instruments towards a surgical site. Various port features that support the curved cannulas within the single opening are disclosed. In one aspect, surgical instrument channels extend at different angles between top and bottom surfaces of a port feature. In another aspect, a funnel portion and a tongue portion are separated by a waist portion, and surgical instrument channels extend from the funnel portion through the waist portion. Cannula support fixtures that support the cannulas during insertion into the single opening and mounting to robotic manipulators are disclosed. A teleoperation control system that moves the curved cannulas and their associated instruments in a manner that allows a surgeon to experience intuitive control is disclosed.
US08623025B2 Delivery apparatus and methods for vertebrostenting
The invention relates to a systems, devices and methods of delivering and deploying a stent into a curvilinear cavity within a vertebral body or other bony or body structure. In some instances, a system can include an elongate shaft for delivering a self-expanding, cement-directing stent. The elongate shaft can include at least one of an inner shaft, an outer shaft, a tubular sheath, a flexible guidewire, and an internal polymer extrusion. A rotational handle can be used to control movement of the elongate shaft. The rotational handle can incorporate one or more pins in slots associated with each of the components (e.g., inner shaft, outer shaft, and tubular sheath), such that upon rotation of the handle, the pins are forced to move in the direction of their respective slots.
US08623024B2 Implantation tools for interspinous process spacing device
Various embodiments described herein provide devices and associated methods for treating spinal disease by implanting one or more interspinous process spacing devices. In one embodiment, an interspinous process spacing device includes a first attachment side and a second attachment side, whereby each attachment side includes one or more slots formed in the outer surface and oriented proximate one end for receiving fasteners extending inwardly from a second interspinous process spacing device. The device further includes a spacer tray positioned between the first attachment side and the second attachment side, the spacer tray extending from the first attachment side and slideably insertable through a tray slot formed in the second attachment side, wherein the spacer tray is adapted to retain adjacent spinous processes in a spaced apart orientation. The device further includes securing means for securing the second attachment side relative to the first attachment side, wherein, upon securing the second attachment side relative to the first attachment side, the interspinous process spacing device is engaged with the adjacent spinous processes.
US08623021B2 Facet joint reamer
In a facet joint reamer with a shank and teeth at the distal end, the distal end is widened compared with the remainder of the shank.
US08623019B2 Bone plate system
Bone plate systems are provided for surgical implants and bone repair. The bone plate is multi-tiered for receiving bone anchors screws for securing a plurality of bones or bone fragments in a desired relationship. The plate members include throughbores for receiving a pivot base therein, with head ends of the bone anchors being secured in the pivot bases. The throughbores may permit and define a translation path for the pivot base and the bone anchor secured therein relative to the plate. Pivot members extending between the pivot base and the plate member facilitate pivoting and optional translation of the pivot base relative to the plate. With the bone anchor seated within the pivot base, an apparatus for inhibiting screw back out is employed.
US08623018B2 Sealing plate temperature control
An end effector assembly for use with an electrosurgical instrument is provided. The end effector assembly has a pair of opposing jaw members. At least one of the jaw members has a support base and a sealing plate coupled to the electrical jaw lead. The sealing plate has a stainless steel layer and a copper layer formed on the underside of the stainless steel layer.
US08623000B2 Independent surgical center
A biological tissue cutting and fluid aspiration system provides a plurality of surgical instruments operable independent of an external control console. In some embodiments, each surgical instrument may include all sensors and controls directly applicable to the surgical instrument, and may be used independently. In some embodiments, instruments communicate status information to each other, and adjust operating parameters based on the communications.
US08622997B2 Tissue transfer method and apparatus
A system in accordance with embodiments of the present invention includes a cannula interconnected to a collection vessel by a flexible conduit. The collection vessel can comprise an impermeable or permeable collection vessel. The collection vessel is contained within a collection container, and can feature a tapered end. An air inlet may be provided in the flexible conduit and/or in a collection canister lid.
US08622993B2 Device and method for delivering therapeutic substances to the maxillary sinus of a patient
The present invention is directed to devices and methods for administering at least one effective dose of at least one therapeutic substance to at least one of the maxillary sinuses of a patient in need thereof. Alternate embodiments may comprise administration of the at least one effective dose of at least one therapeutic substance to both maxillary sinuses, where the administered therapeutic substance(s) are either the same or differ from sinus to sinus. Still further alternative embodiments may comprise more than an initial effective dose administered to a patient's maxillary sinus(es), so that the therapeutic substance may be delivered over time, thereby requiring a larger dose be deposited within at least one of the maxillary sinuses so that the maxillary sinus(es) serve as a therapeutic substance depot for long-term release to the target structure(s).
US08622991B2 Method and device for drug delivery
Systems, devices and methods for delivery of a chemical substance to the body of the patient are provided. Such embodiments may include an infusion catheter configured to be inserted into tissue, a catheter securing element configured to be adhered to the skin of the patient and further configured to secure the infusion catheter to the skin, a drug delivery pump configured to infuse a drug into the infusion catheter for delivery to a drug infused region on the body of the patient, and a treatment element configured to apply a treatment to the drug infused region to improve pharmacodynamics of the drug during a period of delivery of the drug to the patient.
US08622985B2 Arrangement for use with a medical device
The invention relates to an arrangement for use with a medical device which arrangement comprises a shield for a tip of a needle member of a medical device. The arrangement comprises a filter for filtering gas to be transferred out from or into the medical device via the needle member when the arrangement is interconnected with the medical device. The filter is integrated with or constitutes at least a portion of the needle member tip shield.
US08622983B2 Method of incorporating leg elastics in a pant-like disposable absorbent garment, and garment made thereby
A method to incorporate leg elastic members into pant-like disposable absorbent garments, and garments made thereby. In particular embodiments, the method includes supplying elastomeric film laminate first and second body panel webs spaced apart from each other. A continuous first leg elastic member is attached to the first body panel web, and a continuous second leg elastic member is attached to the second body panel web. A continuous leg elastic covering web is attached to both the first body panel web and the second body panel web so as to sandwich the first and second leg elastic members between the leg elastic covering web and the respective body panel web, thereby creating a composite web. In particular embodiments, the present invention can provide an efficient and process friendly technique to cover and hold in place leg elastic members in a pant-style disposable garment that employs elastomeric film laminates.
US08622982B2 Disposable absorptive article
The present invention concerns a disposable article for absorbing a liquid, wherein the article has a substantially non-liquid permeable layer forming an outer packaging surface of the article, and an absorptive material, wherein the outer packaging surface surrounds at least one side of the absorptive material, and wherein said outer packaging surface further includes an opening, through which liquid can pass into the absorptive material. The invention also relates to a method of producing an article and the use of said article in for example the plumbing business, as a drip absorbent, as a residual liquid absorbent, and as a condensate absorbent.
US08622978B2 Tool for adjusting an implantable adjustable fluid flow control valve
Tools for determining and adjusting the setting of an adjustable valve are disclosed. These tools allow a medical professional to locate and non-invasively determine the setting of an implanted valve. After the valve has been located and the setting of the valve determined, the valve may be re-adjusted non-invasively. There are three tools: a locator tool, an indicator tool and an adjustment tool. The locator tool allows the physician to locate the adjustable valve of interest and align the locator tool with a specific orientation of the valve. The indicator tool indicates the current setting of the adjustable valve and confirms new settings of the valve after the new settings have been implemented. The adjustment tool interacts magnetically with the implanted adjustable valve to couple with a movable internal element to change the setting of the valve. The indicator tool and the adjustment tool physically cooperate with the locator tool to accomplish the respective functions of the tools.
US08622977B2 Carrier for injecting a product into the human body
The invention relates to a carrier for injecting a filler product, in particular for microplasty or lipo-reconstruction, comprising a semi-rigid tubular needle (2) having a longitudinal axis with a closed hemispherical distal end and a proximal end (3) for connecting to an injection device, said needle having an inner tubular surface defining an inner transverse cross-section and an outer tubular surface defining an outer transverse cross-section, wherein at least one output side opening (11) is formed in the needle in the vicinity of the distal end. According to the invention, at least one output side opening (11) is defined by a peripheral edge (12) with a rounded connection profile free of any protruding edge, between the inner and outer surfaces of the needle, and the wall of the needle (1) has a thickness, from the proximal end (3) and over a predetermined length of the needle, which is greater than the thickness of the needle which is constant up to the distal end.
US08622974B2 Plunger-driven feeding tube delivery device and methods
A plunger-driven delivery device for use with a feeding tube for delivering an ingestible material to a user is provided. The delivery device includes a device body having a first chamber, a second chamber and an exit orifice through which the ingestible material is delivered to the feeding tube. A first plunger is disposed in the first chamber, and a second plunger is disposed in the second chamber. The delivery device can be provided with the ingestible material disposed in the first chamber and a flushing liquid disposed in the second chamber. The delivery device is operable to provide sequential delivery first of the ingestible material and then the flushing liquid into the feeding tube. In some embodiments, a mechanical interlock is disposed between the first and second plungers to prevent use of the second plunger until the first plunger has been depressed. In additional embodiments, a first passage is defined between the first chamber and the exit orifice and a second passage is defined between the second chamber and the exit orifice. The second passage has a longer passage length than the first passage, allowing delivery of the ingestible material into the feeding tube before the flushing liquid is ejected from the delivery device. A method of delivering an ingestible material to a user through a feeding tube is also provided.
US08622973B2 Simulated medicament delivery device configured to produce an audible output
An apparatus includes a simulated medicament delivery device and an electronic circuit system. The simulated medicament delivery device includes a housing, and is devoid of a medicament delivery mechanism that causes a medicament to be delivered. The electronic circuit system is coupled to the housing and includes an audible output device and a cover. The housing of the medicament delivery device and the cover of the electronic circuit system collectively define an acoustic enclosure. The audible output device is configured to be disposed within the acoustic enclosure.
US08622971B2 Surgical access apparatus
An access apparatus for use during a surgical procedure to provide access to the interior of the body includes an access member defining a longitudinal axis and having a proximal end for being disposed at an exterior side of the body and a distal end for extending into the interior of the body. The access member has a bore therethrough dimensioned to permit passage of an object. A seal is disposed within the bore of the access member. The seal includes a fabric material and an elastomeric material, and defines an internal passageway dimensioned to form a substantial sealing relation with an object inserted therethrough.
US08622966B2 Operating an infusion pump system
Some embodiments of a medical infusion pump system include a pump device having a cap device that mates with a pump housing to retain a medicine cartridge therein. In addition to retaining the medicine cartridge in the pump housing, the cap device may perform a number of preparatory functions or safety functions. In addition or in the alternative, some embodiments of the pump device may include a drive system that advances a piston rod to dispense medicine to the patient in a safe and energy efficient manner.
US08622963B2 Injection needle assembly and drug injection device
To securely position a needle tip and a blade face of a needle tube inserted into the skin in a predetermined site of a living body, such as the upper layer of skin, an injection needle assembly includes a needle tube having a needle tip capable of puncturing a living body, a hub holding the needle tube, a stabilizer, and a guide portion. The stabilizer is formed in a tubular shape surrounding the circumference of the needle tube, and an end face is pressed against the skin, and thereby a raised portion of the skin is formed in a tube hole. The guide portion is arranged on the stabilizer, and is adapted to recognize a press-in distance y of the stabilizer to the skin.
US08622959B2 System for injecting a liquid into a body, such as that of a patient
The invention relates to a system for injecting a liquid into a body, comprising an implantable chamber disposed under the skin and an injection device including: a base bearing an injection needle having a free end that is intended to be inserted into the chamber; an injection conduit connected to the needle; means for extracting the needle; means that can be actuated to generate excess pressure in the injection conduit; synchronization means between the extraction means and the generation means for actuating the generation means during a needle extraction phase. The synchronization means can essentially only actuate the generation means when the free end of the needle is located in the chamber during the needle extraction phase.
US08622946B2 Ankle brace
The ankle brace includes a calf body defining lateral and medial frontal sides spaced by a frontal opening, and first and second straps depending from the first and second frontal sides of the calf body, respectively. The first and second straps are arranged to extend juxtaposed across the opening and secure to the second and first frontal sides, respectively. The brace also includes opposed lateral and medial ankle struts pivotally attached to the calf body, and a footplate connected to the ankle struts. The medial ankle strut has greater rigidity than the lateral ankle strut. The calf body medial section has greater rigidity than the calf body lateral section.
US08622935B1 Elongated surgical manipulator with body position and distal force sensing
An elongated surgical manipulator apparatus and method of operating enables determination of the shape of a flexible portion of the elongated surgical manipulator and/or the location of an arbitrary point thereon, as well as a measure of a contact force exerted on a distal portion of the manipulator. A plurality of fiber optics are operatively coupled with the manipulator, each of the fiber optics including a plurality of fiber Bragg gratings for determination of the shape and/or position. Each of the fiber optics further includes a fiber optic strain gauge such as a Bragg grating or a Fabry-Perot resonator at a distal portion of the elongated surgical manipulator that is isolated from the strain associated with the bending of the manipulator. The fiber optic strain gauges at the distal portion may thus be used to detect a force vector (magnitude and direction) imposed on the distal portion.
US08622930B2 Tissue penetration device
An agent injection device is provided that is capable of injecting an agent to a known predetermined tissue depth. An injection member has an elongate injection shaft with an outlet port configured to dispense an agent at a controllable time. A controllable driver is coupled to the elongate injection shaft and is configured to drive the injection member into target tissue. A velocity control system is in communication with the controllable driver and is configured to control the velocity of the elongate injection shaft.
US08622922B2 Body-worn monitor for measuring respiration rate
The invention provides a multi-sensor system that uses an algorithm based on adaptive filtering to monitor a patient's respiratory rate. The system features a first sensor which is selected from the group consisting of an impedance pneumography sensor, an ECG sensor, and a PPG sensor; and a motion sensor (e.g., an accelerometer) configured to attach to the patient's torso and measure therefrom a motion signal. The system further comprises (iii) a processing system, configured to operably connect to the first and motion sensors, and to determine a respiration rate value by applying filter parameters obtained from the first sensor signals to the motion sensor signals.
US08622920B2 Breath test device and method
The disclosure relates to breath test devices and methods for the evaluation of liver functional and metabolic capacity or to assess liver heath and/or degree of liver injury. Specifically, there is provided a method of evaluating a liver condition, the method includes on-line monitoring a metabolic product of methacetin, a salt or a derivative of methacetin, in a subject's breath after administering to the subject isotope labeled methacetin, a salt or a derivative thereof in water solution form. There is also provided a device for evaluating a liver condition, the device includes one or more sensors adapted to monitor on-line an isotope level of a metabolic product of labeled methacetin, or a salt or a derivative of methacetin in a subject's breath and a controller adapted to sample measurements of the one or more sensors at a continuous mode.
US08622893B2 Medical appliance with a connection and disconnection system for a separable medical instrument
A medical appliance including a medical instrument that is separable from an actuator support, the appliance including a connection and disconnection system including a connector carried by the instrument and including a guide for guiding at least one actuator rod retained elastically in the guide by a head, and a coupling endpiece carried by the support and including at least one engagement mechanism that is guided in rotation and that defines a reception setback for receiving the head of the actuator rod. The engagement mechanism is fitted with a rotary coupling member complementary to the rotary coupling member presented by the connector, and the endpiece also presents at least one hook connected to an actuator system.
US08622880B1 Stretching assistance system
A stretching assistance system featuring a base; a pivoting platform pivotally attached to the back of the base, and a footrest assembly extending upwardly from the base at the front end. The pivoting platform can pivot between multiple positions. The position of the footrest can be adjusted to accommodate the user's height. A first pulley component is disposed in the pivoting platform, and a second pulley component is disposed in the footrest. A rope is attached to the footrest and extends from the footrest to the first pulley component, back to the second pulley component, and extends outwardly from the second pulley component. A handle is attached to the second end of the rope. A locking cleat is disposed on the footrest. When the second end of the rope is pulled, the pivoting panel pivots toward the footrest. The locking cleat functions to hold the rope in a fixed position.
US08622873B2 Exercise equipment usage monitoring method and apparatus
A method of monitoring the use of exercise equipment at an exercise facility having a plurality of items of exercise equipment, the method comprising the steps of: providing a plurality of usage monitors, each associated with an item of exercise equipment, monitoring the usage of the plurality of items of exercise equipment concurrently using the usage monitors, and thereby calculating, for at least some of the items of exercise equipment, a measure of the proportion of the period of time during which respective items of exercise equipment are used. In some embodiments, the usage monitors can detect when an item of exercise equipment is occupied, even if it is not being operated, for example using a heat sensor. A graphical representation may be prepared of the usage of items of exercise equipment at each of a plurality of locations within the exercise facility.
US08622868B2 Electronic differential lock assembly
An electronic differential lock assembly includes a shift collar that is movable in response to an electronic signal from an unlocked position where axle shaft speed differentiation under predetermined conditions is permitted to a locked position where a pair of axle shafts is fixed for rotation together. Speed differentiation is provided by a differential that includes a differential gear assembly supported within a differential case. A coil surrounds the shift collar and is selectively energized to move the shift collar from the unlocked position to the locked position. The shift collar is splined to one of the axle shafts and is selectively splined to the differential case to lock the axle shafts together. The electronic differential lock assembly includes a return spring that automatically disengages the shift collar from the differential case once the coil is no longer energized.
US08622861B2 Hybrid drive unit and method for its operation
The invention relates to a hybrid drive unit, in which for the most optimum possible synergetic use of the combustion engine drive and electromotive drive, a multi-speed clutch- and gear assembly is provided, in which both the combustion engine drive power and also the electromotive drive power is introduced into an input member of the clutch- and gear assembly. Hereby, numerous drive variants are made possible, which allow the drive machines to cooperate with each other according to requirements. The invention additionally relates to the method by which such a drive unit is operated.
US08622857B2 Inflatable ball with rib structure
An inflatable ball includes interconnected first and second half ball bodies. The first half ball body has a first half ball wall with first inner and outer wall surfaces, and a first rib structure formed as one piece with the first half ball wall and projecting from one of the first inner and outer wall surfaces. The first half ball wall has a through hole extending through the first inner and outer wall surfaces, and a first annular connecting edge interconnecting circumferential ends of the first inner and outer wall surfaces. The second half ball body has a second half ball wall with second inner and outer wall surfaces, and a second rib structure projecting from one of the second inner and outer wall surfaces. A valve unit is attached to the first half ball body in connection with the through hole.
US08622856B2 Three-dimensional panels for a game ball and related methods
A method of manufacturing a multi-layer outer panel for a game ball includes three-dimensionally forming a top layer of an outer panel, as well as one or more backing materials disposed underneath the top layer, into a shape substantially corresponding to the surface of the ball. Multi-layer outer panels are then attached to or interconnected to surround an inflatable bladder, thereby producing a game ball while minimizing overstretching of the outer material or the backing material and improving resistance of the outer panels to delamination.
US08622855B2 Nock device for bow
One or more techniques and/or systems are disclosed for a nock device that may be used on an arrow. A top portion a nock device can comprise one or more pairs of string guide impression on its top surface, where the respective one or more pairs of string guide impressions may be symmetrically arranged on the top surface, with respect to each other. A first pair of string guide impression can be disposed along a first bisecting line on the top surface, and, if present, a second pair of string guide impressions can be disposed along a second bisecting line on the top surface. The respective one or more pairs of string guide impression can be configured to receive a bowstring, where the first pair may receive the bowstring in a first orientation, and the second pair, if present, may receive the bowstring in a second orientation.
US08622853B1 Golf training device
A golf training device including a target tee having a ground anchor, a rotating disc, and interchangeable tee heads for providing different tee heights. The interchangeable tee heads are coupled to a resilient member to allow the tee head to pivot and vertically reset itself after repeated impacts from a golf club. The training device includes alignment rods that are releasably attached to the rotating disc to provide golfer stance and body alignment, club face alignment, and ball positioning. The rotating disc is rotated to realign the alignment rods with a new intended target. A T-shaped adaptor is provided to extend alignment rods away from the rotating disc and to assist golfers with putting techniques.
US08622851B2 Color golf ball
A colored golf ball satisfies the following conditions: (i) a color difference ΔE* between the inside layer and the ball of at least 30; (ii) the inside layer has a lightness L* value, expressed in the L*a*b* color system based on JIS 28729, of at least 82; (iii) the ball has a lightness L* value of at least 50; (iv) the lightness L* value of the ball≦the lightness L* value of the inside layer; (v) the inside layer has a transparency which is up to 10% in terms of total transmittance and up to 1.0% in terms of parallel transmittance; (vi) the cover has a transparency which is at least 50% in terms of total transmittance and at least 1.0% in terms of parallel transmittance; and (vii) the inside layer has a haze (H), mentioned in JIS K7105 (1981), of at least 90.
US08622850B2 Putter head
This invention provides a putter head comprising a head body and a face insert mounted on a face surface of the head body. Slits are vertically aligned in multiple stages so as to extend in the face insert in a toe-to-heel direction. A depending portion is provided in the slit on a side of the face surface so as to reduce a vertical dimension of the slit. The slit is filled with a viscoelastic material.
US08622845B2 Launch monitor
A launch monitor that includes substantially all of its functional components on or within a housing is disclosed. The launch monitor may be transported and used in any desired location. One or more cameras, flashes, and triggers may be used to acquire images of a golf club and golf ball. The launch monitor is preferably capable of receiving and transmitting data over a wireless network. Acquired images and other data may be analyzed by a processor, and then displayed using an LED, LCD or other type of display or printer.
US08622835B2 Interactive broadcast sports gaming
A method of interactive gaming includes identifying a broadcast event, generating interactive questions for the broadcast event based upon a subject of the broadcast event, organizing the generated questions based on a structure of the broadcast event, and providing the organized questions to a user during a broadcast of the broadcast event through an interactive gaming interface based on the structure of the broadcast event.
US08622834B2 Game control system recording medium and game system control method
A manager evaluation value setting unit sets a manager evaluation value indicating a management ability of a manager character to manage player characters. A player evaluation value setting unit sets a player evaluation value used to evaluate the player character according to an ability value indicating an ability of the player character. An evaluation difference value calculating unit calculates an evaluation difference value indicating a difference between the manager evaluation value and the player evaluation value when the manager evaluation value is lower than the player evaluation value. A management ability setting unit changes the manager evaluation value to reduce the management ability of the manager character as the evaluation difference value increases.
US08622833B2 User interface apparatus and operational sensitivity adjusting method
A controller interface processor of a user interface apparatus acquires the tilt of a game controller as a rotational value from a sensor built in the game controller. An operation amount determining unit selects a sensitivity characteristic pattern from a plurality of sensitivity characteristic patterns different in the reaction sensitivity of an operation amount with respect to the rotational value in accordance with the width of a range of the rotational value, refers to the selected sensitivity characteristic pattern, and determines the operation amount corresponding to the rotational value acquired.
US08622831B2 Responsive cutscenes in video games
A determination is made that a player's avatar has performed an action while an audio signal representing a narrative of a non-player character is being produced. The action is mapped to an impression, which is mapped to a response. The audio signal is stopped before it is completed and the response is played by providing audio for the non-player character and/or animating the non-player character. After the response is played, steps ensure that critical information in the narrative has been provided to the player.
US08622830B2 Controlling sound distribution in wagering game applications
A wagering game system and its operations are described herein. In some embodiments, the operations can include determining wagering game content presented in a computerized graphical interface on a wagering game machine display. The operations can further include determining sound content that is presentable via a plurality of audio production devices associated with a wagering game machine. The plurality of audio production devices can be positioned in relation to the wagering game machine display to produce a multi-directional audio field that originates from the wagering game content. The operations can determine a position of the computerized graphical interface in relation to the wagering game machine display. The operations can further control distribution of the sound content on the plurality of audio production devices based on the position of the computerized graphical interface on the wagering game machine display.
US08622820B2 Gaming system, gaming device and method for displaying multiple concurrent games using dynamic focal points
The gaming system disclosed herein changes the focal point of a display device at different points in time to assist the player in focusing on different simultaneously or concurrently played games at different points in time. Specifically, the gaming system displays a plurality of simultaneously or concurrently played games on a display device. In response to a designated event occurring in association with a specific one of the plurality of simultaneously or concurrently played games, the gaming system changes the focal point of the display device to draw the player's focus or attention to that specific one of the simultaneously or concurrently played games. Put differently, the gaming system dynamically allocates and/or indicates different portions of a display device to different simultaneously or concurrently played games at different points in time to account for different events occurring in such simultaneously or concurrently played games.
US08622819B2 System to determine casino offers
A system to determine a product to be offered to a casino player based on player data and on an approximate maximum price for which the product may be sold through a retail channel. Advantageously, a potential increase in a casino's profit due to a product offer can be determined using the player data, and an approximate maximum profit attainable through sale of the product through a retail channel can be determined based on the determined price. As a result, a product to offer can be determined in a manner which maximizes casino profit.
US08622816B2 Living wagering games
A system can invigorate wagering games with dynamic updates to reflect changes in ongoing current events. Current real-life data about at least one of a current event and a public figure are acquired. Game data for a wagering game are updated based, at least in part, on the acquired current real-life data. A plurality of wagering game machines that host instances of the wagering game are determined. The updated game data is indicated over a network to the plurality of wagering game machines that host instances of the wagering games.
US08622813B2 Game system and control method of game system, and link system
A game system of the present invention serves to: (a) compare a first predetermined count predetermined for first identification information with a reception count of input data, based upon the first identification information included in the input data of a first gaming machine among the plurality of gaming machines, and thereafter, execute a random determination process as to whether or not to award a first prize, in a case where the reception count has reached the first predetermined count; and (b) compare a second predetermined count predetermined for second identification information with a reception count of input data, based upon the second identification information included in the input data of a second gaming machine among the plurality of gaming machines, and thereafter, execute a random determination process as to whether or not to award a second prize, in a case where the reception count has reached the second predetermined count.
US08622797B2 Apparatus and method for recommending roster moves in fantasy sports systems
Roster modification recommendations are provided in fantasy sports leagues based on projected statistics of one or more players. Available players or players on other teams can be analyzed according to projected statistics, and the projected statistics can be compared to projected statistics of one or more players on the team. In addition, the projected statistics can be further narrowed based on a specified period of time, desired positions, and/or the like. Based on the comparison, trade or acquisition recommendations can be generated for the team. Other parameters can be utilized in making such recommendations, such as a waiver status of the team, a propensity of a team owner to accept trades, etc. In addition, statistics can be projected for players based on one or more received statistics projections.
US08622796B2 Gaming system that navigates dealer in game advancement and prevents fraud
The present invention provides a gaming system that can allow a game to be appropriately advanced even by an inexperienced dealer by way of navigating the dealer in game advancement, thereby allowing fraud to be avoided and cost to be reduced. An image input device, which captures images for displaying to players playing the game at a plurality of stations, captures an image of a side of a game portion on which the dealer is positioned to include card placement areas. Then, a controller performs image recognition processing of comparing image data of the card placement areas captured by the image input device with comparative image data stored in memory. Thereafter, in a case in which image recognition processing results in an image showing a card being included, the score of the card game is calculated based on recognition results of the images showing cards.
US08622794B2 Network dart game system for competition participation and method thereof
An apparatus and method for an electronic dart game using an internet communication network, which notifies users of various competitions and provides a method of participating in the various competitions using a plurality of electronic dart game apparatuses connected to an internet communication network without a separate homepage. A method of participating in a competition using a plurality of dart game apparatuses connected with a server through a network including inputting information on players who desire to participate in a competition and information on a competition in which players desire to participate, by using a dart game apparatus; transmitting information including player information and competition information to the server by the dart game apparatus; processing payment by registering that a competition entry fee corresponding to the competition information is paid, by the server; and registering a player as a player participating in the competition, by the server.
US08622791B2 Cleaning shoe
A cleaning shoe (102) for an agricultural combine comprises a cleaning shoe frame (200); a first plurality of hangers (104) supporting the cleaning shoe frame (200) on the body; a sieve (212) disposed within the cleaning shoe frame (200); two rods (216, 218) supported on the cleaning shoe frame (200), wherein each rod is constrained to pivot about a fore-and-aft axis with respect to the cleaning shoe frame (200); and a second plurality of hangers (214) supported on the rods and coupled to the sieve (212) to support the sieve (212) and constrain the sieve (212) to reciprocate in a lateral direction with respect to the cleaning shoe frame (200).
US08622788B2 Dust collecting cover
An object of the present invention is to provide a dust collecting cover for a grinding cup wheel having both excellent practicality and versatility.A base plate is joined to a body of the dust collecting cover via elastic bodies at a plurality of positions, an adapter for joining an angle grinder is attachably/detachably provided on the base plate, and a portion of the body cover is formed as a sub-cover openable/closable utilizing a magnetic force of a magnet, and the sub-cover is configured to be fastenable to the body cover by a screw inserted via a through hole provided in the magnet into a female screw part provided on the body cover side.
US08622773B2 Connecting flexible conductors using cold plastic deformation
Electrical component for low-voltage switching devices having an electrode comprised of a substantially rigid body with one or more slots and at least one flexible conductor with an end portion inserted into and joined to an associated slot by means of cold plastic deformation.
US08622772B2 Terminal block and method of molding it
A terminal block (10) fastens conductors by placing the conductors one above another and fastening bolts and includes a plurality of nuts (30) on which the conductors are to be placed and partition walls (50) partitioning between adjacent nuts (30). Each partition wall (50) includes an insulation wall (24) provided in an insulation plate (20) arranged below the nuts (30) and a molded resin portion (60) covering the upper surface of the insulation wall (24) and the side surface of the insulation wall (24). Exposed portions (27A), which are exposed upper end parts of a projecting portion (27) provided on the insulation wall (24), are provided on the upper end surface of the molded resin portion (60).
US08622764B2 Integrated translational land-grid array sockets and loading mechanisms for semiconductive devices
A land-grid array die package socket is configured for low- or zero insertion-force assembly with a land-grid array die package. For zero insertion-force assembly, a motion plate applies a force on a land-grid array contact that causes a contact tip to move into protective cover while the die package is inserted into the socket. After zero insertion-force assembly, the motion plate applies a force on the land-grid array contact that causes the contact tip to deflect in a positive-Z direction until a useful contact is made at the contact tip with a land-grid array pad.
US08622761B2 Electrical installation system and method using variably-locatable electrical sockets
A power-socket variable location system and method provide one or more variably-locatable electrical sockets and data connection points along a wall to enable pins of an electrical plug to be inserted at selectively variable locations along the wall to obtain electrical power. An elongate-electrical-conductor is located along the wall. A conductor-enclosure encases the electrical-conductor to prevents inadvertent contact between a user and the electrically-live conductor inside. One or more power-sockets each have pin-to-conductor-conduction-means that are able to electrically link the elongate-electrical-conductor to pins of an electrical plug inserted into the power-socket. The power-sockets can be selectively attached at any location along the conductor-enclosure such that when the pins of an electrical plug are inserted into the power-sockets, an electrical connection is made between the pins and the power source through the pin-to-conductor-conduction-means and via the elongate-electrical-conductor. One or more data connection points are able to be selectively attached at substantially any location along the conductor-enclosure.
US08622748B2 Ankle assembly for crash test dummy
An ankle assembly for a crash test dummy includes an ankle clevis assembly for attachment to a leg assembly of the crash test dummy, an ankle base assembly for attachment to a foot assembly of the crash test dummy and cooperating with the ankle clevis assembly, a fastener to connect the clevis assembly and the ankle base assembly together to allow proper ankle positions for different ankles and also allow friction adjustment to simulate human bracing in impact conditions, and a plurality of elastomeric elements disposed between the clevis assembly and the ankle base assembly that allow proper inversion and eversion performance of the ankle assembly.
US08622746B2 Buoyant device for teaching and training
A teaching aid is provided for use in swim training. The teaching aid comprises a unitary body that is approximately U-shaped. The body defines an opening adapted to receive a torso of a swimmer. The body further comprises a pair of end portions, each of which is tapered to a rounded tip. The end portions further comprise inward-facing fins. A method using a teaching aid for a variety of swimming techniques including front kick, back kick, starfish, doggy paddle, front crawl, back crawl, elementary back, breaststroke, dolphin kick and eggbeater kick is also provided. A method of using the teaching aid and a method of providing instructions to a swimmer to use the teaching aid are also provided.
US08622741B2 Form-based artwork kits
A printable multi-purpose form is described that features detachable pieces, which may be separated and attached to a substrate to create an artwork. The detachable pieces can be connected together using separator pieces and adhesive to form stacked images for the creation of three-dimensional artworks.
US08622739B2 Method for enlarging a jaw bone using a hollow dental implant having a side perforation
A method for inserting a flowable material adjacent the alveolar ridge bone through an elongated tube having an a side perforation. The elongated tube can be a dental implant.
US08622734B2 System and method for forming a shoe sole
A system and method for forming a shoe sole (10) is disclosed. Specifically, there is provided a sole mould for fabricating high-quality shoe soles with great efficiency and a method of using such a mould, wherein the soles feature good air permeability and perfect match with the feet by automatically adapting themselves to the shape of the feet. The sole mould includes a first mould (26) for injection-moulding the underside of a leather layer, and a second mould (28) for injection-moulding the upper surface of the leather layer to form the sole. Further, the first mould is configured in the same shape as the fore sole of the foot and the second mould is configured in the shape of a complete sole.
US08622731B2 Apparatus for forming flanges on components
An apparatus for forming a flange on a component is provided. The apparatus comprises a mold disposed for placement of the component thereon, a forming element configured to hold the component on the mold to facilitate formation of the flange, and a pressure element configured to cooperate with the mold to define a space therebetween. The apparatus further comprises a bladder disposed in the space and configured to impart a forming force to a portion of the component to form the flange on the component when the bladder is inflated. A method for forming a flange on a component is also presented.
US08622729B2 Dough cutting and stamping apparatus and method
An apparatus is provided for forming, cutting and stamping a dough sheet into a plurality of uniformly stamped, imprinted dough pieces. The apparatus engages a leading portion of a dough sheet as it travels along a conveyor. The apparatus includes a drum rotatably disposed relative to the conveyor, a plurality of cutter molds disposed on the rotatable drum and a plurality of pattern imprinters formed within internal cavities defined by the plurality of cutter molds. Each of the cutter molds simultaneously cuts a dough piece received in the internal cavity and imprints on the dough piece to form a rounded edge roll. The pattern imprinter preferably has a star configuration for stamping the dough to form Kaiser-type rolls.
US08622728B2 Base mould lift damping
Disclosed is a device for forming containers and a method for damped closing of a mold carrier unit and a base mold carrier of a blow mold for forming containers. The device includes at least one mold carrier unit for holding blow mold parts and a base mold carrier for holding a base mold and a base mold carrier lift device for moving the base mold carrier in conjunction with the movement of the mold carrier unit. The mold carrier unit includes at least two mold carriers which surround the container to be processed at least during a molding process. The base mold carrier covers a base area of the container to be treated. The base mold carrier lift device includes at least one first damping element for damping and limiting the vertical movement of the base mold carrier in relation to the mold carrier unit.
US08622721B2 Motor driven compressor
A motor-driven compressor includes a housing, a rotary shaft, a compression mechanism and an electric motor. The housing includes a suction port and a discharge port. The compression mechanism is disposed in the housing and compresses refrigerant flowed through the suction port into the compression mechanism and discharges the refrigerant through the discharge port. The electric motor is disposed in the housing and drives the rotary shaft to rotate to drive the compression mechanism. The electric motor includes a rotor fixedly mounted on the rotary shaft and a stator fixed to the housing. The rotor includes a permanent magnet and a compressor interior environment improvement agent containing at least one of an absorbent for absorbing moisture and a neutralizer for neutralizing acid.
US08622707B2 Root attachment for a rotor blade assembly
A root attachment for a wind turbine rotor blade having a blade root is disclosed. The root attachment may include a wall panel configured to be coupled to the rotor blade at or adjacent to the blade root. The wall panel may define at least a portion of an airfoil shape and may include an inner side and an outer side. In addition, the wall panel may define a non-uniform cross-section between the inner and outer sides.
US08622706B2 Slurry pump having impeller flow elements and a flow directing device
A pump assembly and components therefor including an impeller which has flow inducer elements on an inner surface of a front shroud thereof, a flow directing device or strainer having passageways for delivering material to the impeller and at least one flow circulating passageway and a pump casing having an intake section with flow distribution vanes in the region of a feed opening adjacent the intake section.
US08622703B2 Blade provided with a drag damper, and a rotor provided with such a blade
The present invention relates to a rotorcraft blade (1) provided with at least one spar (3) and an outer covering (4), said blade (1) including a hollow sleeve (10) with a wall (11) that is provided at least with said outer covering (4) secured to said spar (3). The blade includes a rotary damper (20) provided with an inner tubular strength member (21) and an outer tubular strength member (22) that are coaxial and connected together by a ring (23) of viscoelastic material, said inner tubular strength member (21) being secured to said covering (4), and said outer tubular strength member (22) being provided with a fork (25) possessing first and second tines (26, 27) facing each other so as to be suitable for receiving a first ball joint (41) close to the pitch-variation axis (AX1) of said blade (1).
US08622693B2 Blade outer air seal support cooling air distribution system
A blade outer air seal (BOAS) of a gas turbine engine has a segmented support ring to support a segmented turbine shroud. The support ring has a cooling air distribution system which includes a plurality of inlet cavities extending axially and inwardly to communicate with an inner cooling air passage within the respective support segments. The inlet cavities each are formed with two recesses defined in respective adjacent two support segments.
US08622692B1 High temperature turbine stator vane
A high temperature resistant turbine stator vane with an airfoil section made from oxide dispersion strengthened (ODS) material extruded through a die, an internal cooling circuit is machined into the airfoil section after the extrusion, and then two endwalls are formed separately and then secured to the airfoil section ends to form the stator vane. Film cooling holes can be drilled into the airfoil section and the endwalls.
US08622690B1 Inter-propellant thrust seal
An inter-propellant thrust seal for a rocket engine with purge fluid introduced into purge chambers to prevent mixing of reactive fluids located outside of bearings, and where one of the purge chambers is formed by an axial thrust piston on the rotor shaft so that the purge fluid in that chamber will also produce axial thrust on the rotor shaft.
US08622689B2 Rotor drive mechanism and pump apparatus
A rotor drive mechanism and pump apparatus according to the present invention may cause an external screw type rotor of a uniaxial eccentric screw pump to rotate and carry out a revolution movement. The rotor drive mechanism further comprises a shaft sealing structure configured such that a gap between an outer peripheral portion of an end portion of the revolution shaft is located on the external screw type rotor side and an inner peripheral portion of the casing in the pump apparatus is sealed. The rotor drive mechanism provides for a reduced amount of heat and vibrations to be generated when the rotor is rotated at high speed and further allows for lowering of contact pressure between an outer surface of the rotor and an inner surface of a stator inner hole.
US08622681B2 Specimen carrier supply and delivery device
Provided is a specimen carrier supply and delivery device having at least one specimen carrier magazine with separate compartments for receiving interchangeably specimen carriers and a magazine lift, which is adjustable in height in a magazine carrier frame for receiving interchangeably specimen carrier magazines. Two pairs of bars, which stand vertically and parallel to one another, are arranged in the magazine carrier frame, wherein the magazine lift is guided in a height adjustable manner on the two complementary first bars of the bar pairs. An equal-sided coupling frame, which is aligned vertically to the plane formed by the first bars, with a bridge, which is arranged parallel to the plane, is mounted in a sliding manner on the two complementary second bars of the pairs of bars. A linear scale, which is aligned parallel to the direction of the bars, is fastened to the magazine lift. A sensor for reading the position of the coupling frame relative to the linear scale is disposed on the sliding mounting of the coupling frame. A carrier element, which is aligned transversely to the direction of the bars and is configured for a linear motor with a spindle for adjusting the height of the magazine lift relative to the current position of the sensor, is arranged on the magazine carrier frame.
US08622678B2 Nut assembly
A nut assembly includes a nut and an carrier. The nut defines a number of latching grooves. The carrier includes a planar board and a number of flexible holding elements protruding from the planar board. Each flexible holding element is releasably latched in one of the latching grooves to latch the carrier to the nut. The carrier is capable of moving relative to the nut from a first state to a second state, so the flexible holding elements slide out of the latching grooves to release the carrier from the nut.
US08622670B2 Three aerogenerator blades packing system (packing method and packing system for three aerogenerator blades)
A three aerogenerator blades (1, 2, 3) packing system for transporting, handling and storing of aerogenerator blades (1, 2, 3). The prior art does not provide for cost-effective packing systems for sets of three blades. One aspect of the invention is directed to a method of packing three aerogenerator blades (1, 2, 3) and other aspect is directed to a packing system comprising a root frame (4) and a binding frame (5), wherein the blades (1, 2, 3) are in a edgewise position.
US08622666B2 Adjustable step drill bit
An adjustable step drill bit with a plurality of cutting disks held in compression on a shaft between two stops. The cutting disks may be arranged in various configurations. Spacers may also be provided on the shaft with the cutting disks. A method of drilling a hole utilizing the drill bit to drill a pilot hole and allowing for the drill bit to be used in a pulling technique.
US08622663B1 Drill bit for drilling holes in carboresin laminates
A drill bit having features rendering it particularly effective in cutting holes in carboresin laminates of the type used in the aircraft industry. A pair of diametrically opposite lands slope radially inward at the working end of the bit to a pair of cutting edges disposed at an obtuse angle to one another and forming an efficient cutting tip. Notches with sloping ramps adjacent the cutting edges efficiently convey cut material to adjacent flutes. The lands curve radially inward between their full diameter portion and their cutting tips and the junction of the curved portion and the constant diameter portion of the lands is machined to provide a more gradual transition.
US08622662B2 Tool having detachably clamped cutting body
The invention relates to a tool, in particular a revolving tool for machining, in particular metal cutting, workpieces, comprising a carrier body, a cutting body arranged detachably on the carrier body, and a clamping bracket for the positionally accurate fixation of the cutting body relative to the carrier body, wherein the cutting body is pressed against a supporting surface on the carrier body in a planar manner. According to the invention, the clamping bracket is integral with the carrier body and connected to the carrier body by way of a material joint. Furthermore, the cutting body is supported in the direction of the material joint on a face supporting surface of the clamping bracket, wherein the supporting surface is arranged on the carrier body at an acute angle (α) with respect to the face supporting surface of the clamping bracket and oriented in the direction of the material joint.
US08622661B2 Tool with internal fluid passage
A tool has a shank and a cutting blade mounted on the shank. The tool also includes an internal fluid passage for drawing in, together with air, chips produced when the cutting blade machines a workpiece. The internal fluid passage comprises a through hole made in the shank, at least one main inlet hole for drawing in the chips together with the air, the main inlet hole being made in the cutting blade and being in fluid communication with the through hole, and at least one sub-inlet hole for drawing in at least the air, the sub-inlet hole being made in the shank and being in fluid communication with the through hole. The chips can efficiently be discharged from the tool without being deposited in the internal fluid passage, by the air which flows at a suitable speed through the internal fluid passage and draws the chips, regardless of the diameter of the tool.
US08622659B2 Retaining wall block system
A wall block having a block body having opposed front and back faces, opposed first and second side walls, and opposed and substantially parallel top and bottom faces, the top face having a receiving channel, and the receiving channel opening onto one or more pin holes. The wall block can be used in a retaining wall made of (i) a plurality of blocks including at least one lower course and at least one upper course, at least one block comprising a block body having opposed front and back faces, opposed first and second side walls, and opposed and substantially parallel top and bottom faces, the top face having a receiving channel, and the receiving channel opening onto one or more pin holes; (ii) a soil retaining material; and (iii) a channel bar.
US08622655B2 Pipe-laying vessel and method of laying a pipeline
A pipe-laying vessel is provided, including a tower extending upwardly from the vessel, a pipe loading arm for raising a length of pipe from the deck to a position aligned with the tower. The pipe-laying vessel may also include a support assembly for supporting a bulky item to be accommodated along the pipeline, the support assembly including a frame pivotable between a first load receiving position and a second tilted position in which it extends approximately parallel to the tower, and drive means for pivoting the tiltable frame between its first and second positions. The pipe-laying vessel may alternatively or additionally include a welding assembly station cabin in the region of a lower portion of the tower, a movable support assembly for supporting a bulky item to be accommodated along the pipeline, the movable support assembly being disposed on top of the cabin.
US08622653B2 Apparatus and method for sterilizing seed beds in soil
An apparatus and a method are described for sterilizing seed beds in soil. The apparatus is comprised of at least one hot water injecting chamber defined by a pair of spaced-apart side walls secured to one another and defining therebetween a passage. A plurality of jet holes are provided in an inner surface of each of the side walls and facing the direction of the passage. The jet holes communicate with a manifold associated with each side wall which is connected to a supply of pressurized hot water. Each of the side walls is configured to penetrate into the soil in at least a lower region thereof whereby to inject into the soil in the passage between the pair of plates the pressurized hot water as the hot water injecting chamber is displaced in the soil.
US08622651B2 Wave generating apparatus and method
The teachings herein are directed to a wave forming apparatus including a bed form that may include a plurality of sections at different angles, including a base section adjacent to a wave forming channel, the base section having an exterior profile defining a first, non-abrupt angle to the direction of flow of water in the channel, and an upper section that forms an exterior profile defining a second, steeper angle to the direction of water flow. Also disclosed is a twistlock mechanism adapted to removably fasten a bed form to a water channel, and a method of use.
US08622649B1 Screen limestone leveling and smoothing tool
The screen limestone leveling and smoothing tool includes a first and second telescoping members that each include rollers at distal ends for use in rolling the tool across pieces of generally parallel timber in order to even and smooth out a layer of crushed limestone or sand. The screen limestone leveling and smoothing tool includes blade members under each of the first and second telescoping members, which engages across a top surface of the crushed limestone or sand, and which are offset from one another in order to enable the telescoping members to adjust an overall length formed thereon. The blade members are pushed across the top surface of the crushed limestone or sand, and smooth and even the top surface.
US08622647B2 Curb-mounted storm sewer box and method of manufacture/repair
A storm sewer box, and a method of repairing a storm sewer box for directing water into a storm sewer conduit at a curb location, and having a housing with a front, a top and spaced sides. The housing defines a chamber and a front entry opening that communicates to the housing chamber. The housing has a first surface that at least nominally matches an adjacent curb surface at the front of the housing. The housing has: a) at least one component that defines the first surface; and b) a base to which the at least one component is connected.
US08622646B2 Electronic device with slot cover ejection mechanism
An electronic device includes a frame defining a slot and a first through hole, a slot cover moveably received in the slot, a latching member rotateably connected to the frame, and a torsion spring. The latching member includes a first arm and a second arm protruding therefrom and extending in opposite directions. When the latching member is at a locking position, the first arm faces the first through hole, and the second arm engages an elastic hook to retain the cover in the slot. The spring includes a first arm fixed to the first arm and a second arm fixed to the frame. The latching member is driven to rotate when the first arm is pushed by an elongated object passing through the first through hole, causing the second arm push the elastic hook to be deformed, thereby allowing the second arm to disengage from the elastic hook.
US08622644B2 Seal assembly and method for forming a seal assembly
A seal assembly and method of forming a seal assembly provides a seal body having reduced strain during use, thereby increasing service life. The seal body may be formed within certain parameters, such as ranges of height-to-thickness and offset-to-height ratios, which have been found to reduce strain. Additionally or alternatively, the seal body may be modified from an initial configuration to a pre-strained configuration by axially displacing an inner section of the seal with respect to an outer section of the seal, which also reduces strain.
US08622642B2 One hand lip stick
A one hand lipstick container includes: a container main body having a cylinder standing at the center of a body and a center through-hole; a container cover rotatably connected to the upper portion of the container main body and having an open inlet; a cover holder combined by a spiral with the outer side of the cylinder of the container main body and vertically moving up/down by rotational force of the container cover; a door hinged to the cover holder to open/close the upper portion of the cover holder; a lipstick housing combined by a spiral with the inner side of the center through-hole of the container main body and vertically moved up/down by rotational force of the cover holder; and a vertical guide transmitting the rotational force of the cover holder to the lipstick housing and vertically guiding the lipstick housing to move up/down.
US08622622B2 Antifriction bearing
An antifriction bearing for a turbocharger, which has an outer ring with an annular inner face and an inner ring with an outer face which is parallel to the inner face, and a rolling body cage with a single annular part arranged radially between the outer and inner ring with one cage guiding face which is parallel to the inner face of the outer ring and an inner surface which is parallel to the outer face of the inner ring, and with closed rolling body pockets which are distributed over the circumference and rolling bodies received in the pockets. To provide hydraulic damping and reduced friction, the annular part is provided in the region of the cage guiding face with at least one radial recess outside the rolling body pockets, which can be formed by apertures, cutouts or grooves in the cage guiding face.
US08622612B2 Method and apparatus for determining the thermal expansion of a material
A focus error signal resulting from the photothermically-induced expansion is measured in a sample of material under analysis. A laser is disposed as a periodically modulated heating source which is directed to the sample and a device for focus error measuring which is directed to the surface being heated. A device measuring focus error generates a signal representative of the displacement of the surface of material in perpendicular direction due to the expansion produced by the periodic heating, which is filtered, either analogically or digitally, to discriminate the displacement component at the frequency in which it was modulated or at any other related frequency, such any harmonic or a sum with any other modulation. The focus error signal, appropriately calibrated, gives a precise and sensitive measure of the magnitude the expansion. Said magnitude and its dependence with the modulation frequency allows the determination of physical properties such as the thermal expansion or thermal diffusivity coefficient, the thickness of a coating film or the absorption coefficient of the light from the heating beam. By varying the wave length of the directed radiation it is possible to determine the absorption spectrum of the sample even for very small sized particles in which the fraction of absorbed energy is very little.
US08622609B2 Valve switch modulation for reducing errors due to oscillations of the inlet fluid of a pump system
Described is a method of reducing liquid composition errors in a low-pressure mixing pump system. Packets representing the switching intervals of each component of the desired fluid mixture are provided to an intake of the mixing pump system. For each packet, a switching time associated with at least one of the components in the packet is modulated. Modulated switching times are based on time offsets that are specifically selected according to the undesirable frequency characteristic of an intake response of the mixing pump system. The average of the volumes contributed by the packets thus modulated is equal to a component volume that achieves a desired proportion of the component in the output flow of the mixing pump system. Modulated switching times enable the reduction or elimination of composition error in the output flow of the mixing pump system.
US08622604B1 Warming elements for the beaters of a mixer
A mixer for mixing food items and ingredients includes beaters removably attachable to the mixer, and the beaters including arms and mixing blades and extending along and wrapping about the arms and mixing blades of each beater is a heating element, preferably electrical wiring or leads, that is embedded in and covered by a heat conductive material such as ceramic, so that the heating element and the heat conductive material can warm up and bring to room temperature the various food items and ingredients for more efficient and quicker food preparation and processing when the beaters are immersed in or submerged within the items or ingredients and the heating element is actuated for producing heat that conducts through the heat conductive material to the food items and ingredients.
US08622583B1 LED lamp scattering heat swiftly by exchanging currents
An LED lamp scattering heat swiftly by exchanging currents mainly constructs multiple channels interleaved in heat scattering areas among fin units of a cooling device. At least one first hole is defined on a wall of each channel for assisting the channels in communicating with the heat scattering areas. Accordingly, the exterior air is introduced from the channels into an accommodating chamber of a seat body of the LED lamp. Thence, a fan installed in the LED lamp further blows the exterior air and the wasted heat of the LED unit to cause a current exchanging effect. Whereby, the wasted heat is efficiently expelled from the LED lamp, which increases the heat radiating efficiency and the using life of the LED lamp and remedies restrictions on installations of the LED lamp for expanding the lamp application.
US08622581B2 Light guiding pole and illumination assembly using same
A light guiding pole (22) is described. The light guiding pole (22) includes a base board (23) having a top surface (231), a bottom surface (232) and a main board (24) mounted to the top surface (231) of the base board (23). A first optic member (311) is arranged on the top surface, adjacent to the main board (24). The main board (24) arranges a second optic member (249) therein. Light emitted from a light source emits from the bottom surface after being sequentially refracted by the second optic member and the first optic member. An illumination assembly (20) using the light guiding pole (22) is also described.
US08622580B2 Lighting device
A lighting device includes a light emitting module including a substrate and a light emitting device mounted on the substrate; a case body receiving the light emitting module; a gap member contacting the case body and wider than the substrate; a lens on the light emitting module and contacting the gap member; a first ring provided on a peripheral portion of the lens; and a case cover coupled with the case body and having an opening, wherein the case body is provided therein with a coupling cavity in which the gap member is seated, and wherein the lens includes a first portion between the ring and the gap member and a second portion that extends outward from the first portion through the opening of the case cover.
US08622573B2 LED array beam control luminaires
The present invention provides LED array systems with which provides for a LED array luminair with reduced color fringing, light spill reduction and beam angle control and LED protection.
US08622564B2 Portable electronic device with interface
An interface assembly includes a connector housing and a light emitting element. The connector housing defines a opening. The light emitting element is retained to the connector housing and illuminates the opening.
US08622563B2 Moving light with removable circuit board
A moving light which has a removable part that allows upgrading and retrofitting the moving light, but yet remains balanced. The removable part can be in a balanced location, for example on the center of gravity or center of symmetry of the light. Two separate removable parts can be in different locations, one of which balances out against the other. The parts can be circuit boards, memory chips, processor chips, or digital memory devices such as a type usable in digital cameras.
US08622561B2 Covert security camera within a lighting fixture
A luminaire (100) includes a lamp housing (2) having an outer surface (42), and a cover (14) extends a height (1) above the outer surface (42). A camera (12) is concealed within the cover (14).
US08622554B1 Portable weather-resistant unit for video projectors
A portable weather-resistant device is provided for use with a video projector that includes front and back projector windows with interchangeable clear and dark plates, leveling feet, and wheels. The device can be configured to house a weatherproof DVD player, speakers, a radio, ventilation fans, projector mount, projector plate, AC to DC converter, and microphone input.
US08622551B2 High intensity image projector using sectional mirror
Image projectors with increased light intensity and methods for providing brighter images are provided. Image projectors, described herein, can provide the brightness while still providing any or all of compactness, low power consumption, and long lifetime. To increase brightness, sectional mirrors are used to respectively compress the light from two light sources into a single pupil (e.g. an aperture) of an imaging device. The compression can be accomplished by regions (e.g. sections) of the mirror having different angles with respect to the pupil. Relatively little light may be lost in the compression since minima for a light intensity pattern from a light source may occur between the regions that reflect the light.
US08622546B2 Method of locating valid light spots for optical measurement and optical measurement instrument employing method of locating valid light spots
An algorithm locates valid light spots produced on an image detector by a wavefront of interest. The algorithm includes sequentially examining pixels of the image detector to determine for each of the pixels whether the light intensity detected by the pixel is greater than a threshold, When the pixel's detected light intensity is determined to be greater than the threshold, the algorithm includes: determining whether the pixel belongs to a valid light spot; and when the pixel is determined to belong to a valid light spot; saving data indicating a location for the valid light spot; and masking out a group of pixels of the image detector at the determined location such that the masked pixels are considered to have a light intensity less than the threshold for a remainder of the sequential examination.
US08622545B2 Spectacle lenses and method of making same
A method of making a pair of spectacle lenses which may reduce induced binocular vision distortions where wearer and frame metrics and a lens class are inputted into a computer program, and the computer program determines the left and right lens front curve radius in which there is a substantially linear relationship between the rate of change of lateral vergence and degree of ocular rotation and then adjusts the left and right lens front curve radius and the left and right lens center thickness such that the lateral inter-ocular static magnification target value is between about −3% and about +3% in the lateral plane and the stimulus to near vergence is within the wearer's vergence limits. The computer program then determines a left and right lens index of refraction and a left and right lens material and the generated lens parameters are then used to machine the spectacle lenses.
US08622541B2 Eyeglass frames
A pair of spectacles which comprises (A) a pair of lenses, each lens having an engaging portion on its periphery, and (B) a pair of temple arms, each of which comprises (1) a side member, (2) an auxiliary member, and (3) a return member which comprises an attachment portion fitted to an engaging portion of a lens; the auxiliary member (i) being connected to the side member and to the front of the return member, and (ii) providing a front surface of the temple arm.
US08622539B2 Two-dimensional absolute position sensor and projection control for a handheld printer
A handheld portable printer includes members and a handle that are joined together to form a generally O-shaped device. The members provide a rigid and strong structure and an area for housing a projector to project an image on the print surface of the image to be printed. The bottom member houses a pair of absolute position sensors spaced apart and aligned upon the longitudinal axis on the bottom of the handheld portable printer. A controller is associated with the absolute position sensors to produce precise position information. The controller uses the precise position information to control the projection of the image to be printed and the printing of pixels by the print head. In one embodiment, the print head is controlled such that each pixel is printed at least twice. The present invention also includes a number of novel methods including: a method for printing an image with a handheld printer, a method for projecting an image to be printed, and a method for registering a location of a printer and portions of a printed image.
US08622536B2 Ink jet aqueous ink, ink cartridge, and ink jet recording method
An ink jet aqueous ink including an ABC triblock polymer and a polymer emulsion, wherein the above-described ABC triblock polymer is formed from an A block having a unit represented by the following general formula (1), a B block having a unit represented by the following general formula (2), and a C block having a unit represented by the following general formula (3).
US08622535B2 Inkjet ink and recording unit
An inkjet ink contains a pigment, a water-soluble resin having a hydrophobic group, an inclusion compound, and water. The water-soluble resin includes a part adsorbed to the pigment and a part not adsorbed to the pigment. The inclusion compound is cyclodextrin or a cyclodextrin derivative. The mass ratio of the part not adsorbed of the water-soluble resin to the inclusion compound is in the range of 0.30 to 9.00.
US08622534B2 Method of minimizing kogation in thermal inkjet printheads
A method of minimizing kogation of a heater element in a thermal inkjet printhead. The method includes the steps of: (i) supplying an inkjet ink to a nozzle chamber of the printhead; and (ii) repeatedly actuating a heater element in the nozzle chamber so as to heat a portion of the ink to a temperature sufficient to form a bubble therein, thereby causing droplets of ink to be ejected from a nozzle opening associated with the nozzle chamber. The ink includes a styrene-acrylic copolymer having a glass transition temperature (Tg) in the range of 5 to 30° C. and acid value in the range of 100 180 mgKOH/g, said styrene-acrylic copolymer minimizes kogation of the heater element.
US08622528B2 Piezoelectric element, liquid ejecting head, liquid ejecting apparatus, and method for manufacturing piezoelectric element
A first lead electrode containing nickel and chromium contacts a second upper electrode containing titanium. Here, since a difference between the normal electrode potential of nickel and chromium and the normal electrode potential of titanium is smaller than a difference between the normal electrode potential of nickel and chromium and the normal electrode potential of iridium, electric corrosion can be made difficult to occur as compared with the case where the first lead electrode containing nickel and chromium contacts a first upper electrode containing iridium. Therefore, a piezoelectric element can be obtained in which an increase in resistance due to the narrowing of the contact area between an upper electrode and a lead electrode for upper electrode or the separation of the lead electrode for upper electrode can be suppressed and which can be driven by a given voltage.
US08622519B2 Inkjet head
An inkjet head with a harmonica-type head tip is configured in a way that wirings electrically connected to drive electrodes within channels are led rearward from a rear surface of the head tip. A groove is provided along an array direction of the channels in areas on the rear surface of the head tip in which the channels are not formed. A lead-out electrode, which is electrically connected to drive electrodes, is formed from the rear surface of the head tip to the interior of the groove. One end of a wiring member, which has connecting wirings upon an insulating material corresponding to the lead-out electrodes, is inserted into the groove. The drive electrode is electrically connected to the connecting wiring via the lead-out electrode as a result of the electrical connection of the connecting wiring within the groove to the lead-out electrode.
US08622517B2 Method of manufacturing liquid ejection head and liquid ejection head
A method of manufacturing a liquid ejection head includes: defining a first imaginary reference line along the longitudinal direction of a base member and measuring the distances between the first imaginary reference line and at least two liquid supply port portions of a liquid supply port row, including defining a second imaginary reference line passing the liquid supply port portion at the shortest distance from the first imaginary reference line and the liquid supply port portion at the longest distance from the first imaginary reference line respectively from among the at least two liquid supply port portions and defining the second imaginary reference line between two imaginary lines parallel to the first imaginary reference line.
US08622501B2 Inkjet printer and inkjet printing method
In an inkjet printer that prints an image by a forward scan and a backward scan of printing heads, it is determined whether or not a color unevenness occurrence value set on the basis of values of unit image data constituting image data is more than a predetermined threshold value. If the color unevenness occurrence value is less than the threshold value, data that enable a dot to be formed in a unit area corresponding to the unit image data by the forward scan and the backward scan of the printing heads are generated. If the color unevenness occurrence value is more than the threshold value, data that enable a dot to be formed in the unit area only by one of the forward scan and the backward scan of the printing heads are generated.
US08622492B2 Positioning mechanism for quick release device of slide assembly
A positioning mechanism for a quick release device of a slide assembly includes an opening in the rail and a positioning member located corresponding to the opening. The rail has a first contact portion adjacent to the opening which has an open portion and a reception portion communicating with the open portion. The positioning member includes a base, a resilient arm, a restriction portion and a second contact portion. The base is fixed to the rail. The resilient arm is connected between the base and the restriction portion. The restriction portion has a first contact end located corresponding to the open portion. The second contact portion is connected to the restriction portion. An substantially enclosed area is defined between the first contact end and the reception portion. The second contact portion contacts the first contact portion of the rail such that the first contact end is secured.
US08622491B2 Container data center
A container data center includes a housing, a driving device, a gear device, and a rope. The hosing includes a sidewall, and the gear device and the driving device are received within the housing. The gear device includes a winding member, one end of the rope is connected to the winding member, and the other end is connected to the rotatable sidewall. The winding member is mechanically connected to the driving device, the driving device drives the winding member to wind or unwind the rope, and the sidewall is closed or opened according to the rotation direction of the winding member.
US08622489B2 Cabinet system and method of assembling the same
A front panel, a pair of side panels, a top panel, and a bottom panel connect to form a cabinet. Optionally, the cabinet includes a rear panel. The front panel includes a pair of master keyways. Each of side panels has a groove and a pair of side keyways. Each groove provides the side panel with an edge profile that connects with one master keyway to couple the side panels with the front panel. Each of top and bottom panels includes a pair of grooves. Each groove provides top and bottom panels with an edge profile that connects with one side keyway to couple the top and bottom panels with the side panels. Optionally, one of the top or bottom panels includes an extender and the front panel includes a groove wherein the extender is received within the groove to limit movement of the side panels.
US08622484B2 Mounting for a replaceable tool
A mounting for a replaceable tool on a ground-engaging machine, the mounting having a tool holder having an aperture extending into a body portion of the tool holder for receiving a projecting mounting portion of the tool, the tool holder having an inter-engagement structure for mechanically engaging with a base having a complementary structure, the base being adapted to be fixed to the machine, and the mounting further having retaining means for cooperating with the holder and the tool when installed to retain the holder on the base.
US08622476B2 Child vehicle seat
According to the present disclosure, a juvenile vehicle seat includes a base providing a seat bottom, a backrest extending upwardly from the base, and a movable headrest. The headrest is mounted for up-and-down movement on the backrest. The juvenile vehicle seat also includes a headrest-height controller configured to control the height of the movable headrest relative to the backrest.
US08622463B2 Front region of a vehicle and vehicle
A front region of a vehicle is provided that includes, but is not limited to an upper front region, a middle front region, and a lower front region. The upper front region includes, but is not limited to an engine hood, front regions of mudguards headlamps or driving direction indicators. The middle front region includes, but is not limited to an impact energy-absorbing region and has molding elements in driving direction. The molding elements interact with impact energy-absorbing, yielding structures. The yielding structures are plastically deformable. The lower front region includes, but is not limited to a molding apron. The lower front region is elastically deformable.
US08622455B2 Instrument panel assembly
A storage compartment configured to attach to a structure, and a method of assembling an instrument panel is provided. The storage compartment includes a base and a cover disposed above the base. The cover includes a first top portion, a second top portion, and a stop. The first top portion is fixedly mounted to the support portion of the base. The second top portion is movable between a retracted position and an extended position, in the retracted position the second top portion is substantially disposed over the first top portion, in the extended position, the second back wall of the second top portion is displaced from the first top portion. Once the second top portion of the cover is moved to the extended position, the stop engages the second top portion so as to prevent the second top portion from moving to the retracted position.
US08622450B1 Cam hook truss lift system
An apparatus for efficiently lifting heavy trusses used in constructing buildings requiring a pitched roof. The use of this apparatus reduces the manpower requirement for constructing roofs by providing a J-shape cam-hook-lift-apparatus to latch onto a truss and use the power of a lifting mechanism, such as a crane, to raise and place the roof trusses into a predetermined position. Using this apparatus will reduce the amount of time needed to complete a roof assembly and increase safety for workers.
US08622448B1 Connection fixture for attaching to a structure to be lifted and a method for use thereof
Disclosed is a connection fixture capable of attaching without the use of bolts to an upper portion of a structure to be lifted to facilitate the lifting thereof. In one embodiment, the connection fixture includes an outer angle iron and an inner angle iron attached in a vertically slideable relationship with respect to one another between an open position and a closed position. In the open position, the connection fixture can be positioned to engage a plurality of holes in an upper horizontal lip of the structure to be lifted. In the closed position, the upper lip is securely captured between the outer angle iron and the inner angle iron. A shackle can be secured through holes in the connection fixture. In another embodiment, the connection fixture includes first and second planar elements between which can be captured an upper portion of the structure to be lifted.
US08622444B2 Door latch mechanism
The door latch mechanism independently operated by first and second handles of the door handle assembly comprising a cam member having a cam surface which facilitates translation of movement of the handles to displacement of the cam member. The cam member can be coupled to a latch member and move the latch member between an extended and retracted position. A door lock mechanism can prevent displacement of the second door handle while simultaneously allowing displacement of the first door handle.
US08622441B1 Hand held link making device and kit
A disclosed device for creating an item consisting of a series of links includes at least two posts spaced part from each other in a first direction with each of the posts including a first arm and a second arm and an access slot.
US08622436B2 Chemically reactive security ink, a method of use of such ink, and security documents incorporating such ink
A document uses a chemically reactive security ink to facilitate security, making it more difficult to alter a genuine security document. The chemically reactive security ink masks a warning word or message on a security document. The hidden warning word or message is revealed when the document is subjected to chemical washing.
US08622433B2 Security document
The invention relates to a value document, such as bank note, passport or the like, which has a security paper and a marking produced with the help of a laser, the security paper having at least one partial area with a coating, and the marking extending over the border area between coating and security paper. Further, the invention relates to a security paper and methods for producing an inventive value document and security paper.
US08622425B2 Height-adjustable support for semitrailers
A height adjustable support for semi-trailers includes a shaft tube body modable on a chassis of a semi-trailer, a slidable support inner tube arranged in the shaft tube and having a foot mounted to an end region thereof by a nut located on a spindle which is drivable by a transmission, wherein the transmission has a switchable spur gear region and beveled gear assemblies. The switching region of the transmission is formed from a lower-gear pinion and a fast-gear pinion each adapted to engage a crank drive, and a low-gear gearwheel and a fast-gear pinion each adapted to engage clutch coupling elements, wherein power is transmitted from the crank drive via the low-gear pinion to the low-gear gearwheel and alternatively from the crank drive via the fast-gear gearwheel to the fast-gear pinion. An output shaft and a tab drive unit are supported in a rotatable manner, wherein the tab drive unit comprises the fast-gear pinion and a fast-gear beveled pinion that are connected to one another in an unrotatable manner by a connecting member.
US08622423B1 Airbag with heat shield
An airbag includes a fabric component defining an inflatable chamber. The airbag has an opening for receiving an inflation gas. A tubular heat shield is assembled with the airbag adjacent the opening. The tubular heat shield is a sheet of a flexible material rolled to form a tube and fixed to the airbag inside the airbag. The tubular heat shield has a passage for receiving at least a portion of an inflator. The flexible material of the tubular heat shield is selected from a group of materials consisting of fabrics, polymers, metals and metal alloys.
US08622421B2 Curtain bag
Disclosed is a curtain bag that can obtain a necessary inflated state in a short period of time without applying excessive localized force to a peripheral joining section or an inner joining section, and that is improved in the ability to suppress the ejection of passengers out from a vehicle specifically by a lateral collision. A portion of the curtain bag and/or the peripheral edge is shaped so as to snag the bottom sill of a window, and the inner joining section of the region that contacts the section below the bottom sill of the window is shaped with a plurality of dots and/or given an expandable form across effectively the entire surface and/or given a form that has increased bending stiffness.
US08622418B2 Method for manufacturing knee airbag cushion
A method for manufacturing a knee airbag cushion includes (a) preparing a panel having first and second panel surface parts and a virtual central line interposed between the first and second panel surface parts and is configured such that a distance from the virtual central line to the first panel surface part is different from a distance from the virtual central line to the second panel surface part, (b) folding the first panel surface part, (c) connecting portions of the first and second panel surface parts to each other, (d) folding an area of the virtual central line in the folding direction, and (e) forming a third sewing line to connect the first panel surface part to the second panel surface part.
US08622415B2 Convertible transport apparatus
An apparatus (10) for transporting items includes a base (12), a handle element (16) extending from the base (12), a pair of rollers or wheels (20) mounted to the base (12) and a platform (14) mounted to the base (12) and adapted for movement between a stored condition and an operative position. The platform (14) includes first and second leg elements (24) and a platform support (26) connected to the first and second leg elements (24) and extending therebetween. The platform (14) is dimensioned and adapted to carry an object when in the operative position. The platform support (26) may comprise a flexible material such as a fabric material.
US08622414B2 Vehicle coupling device
A device for coupling a vehicle to a vehicle to be towed includes using an air blast typically from the brake system to automatically hitch the 2 vehicles. A three position pneumatic valve is utilized to allow for the hitching and unhitching of the 2 vehicles.
US08622404B2 Infant carrier apparatus and its adjusting method
An infant carrier apparatus comprises a support frame having opposite first and second sides, a handle pivotally coupled with the support frame, and a stop mechanism. The handle is operable to rotate relative to the support frame between a first position inclined toward the first side, and a second position inclined toward the second side. The stop mechanism is adapted to arrest the handle at an intermediate position when the handle is rotated from the first position toward the second position, wherein the intermediate position is located between the first and second position. In addition, other embodiments also described a method of adjusting the infant carrier apparatus.
US08622401B2 Bit retention device
A bit retention device includes a shaft having a sidewall defining a hexagonal cavity extending from a first end thereof and a ball groove extending through the sidewall and communicating with the hexagonal cavity. A ball is disposed in the ball groove and a ball spring engages the ball and biases the ball toward the first end. An actuator sleeve surrounding the shaft includes an internal ramp engaging the ball. The internal ramp includes a shallow ramp portion having a first angle of inclination with respect to a center axis and a steep ramp portion having a second angle of inclination with respect to said center axis of said shaft that is greater than the first angle of inclination. A spring biased plunger assembly is disposed in the bore for biasing a bit received in the bore in a direction toward the insertion end.
US08622396B2 Free-floating gas seal
A rotary aircraft exhaust system having an engine, an exhaust member in gaseous communication with the engine, and a longitudinally compressible bellow seal in sealing contact with both the engine and the exhaust member. The bellow seal is configured to provide a gaseous seal between the engine and the exhaust member while also allowing the exhaust member to move in the transverse, longitudinal, and pivoting directions relative to the engine.
US08622395B2 Games using darts or arrows
The present document describes games using darts or arrows. More particularly, this description relates to targets and accessories used for new games.
US08622390B2 Sheet processing apparatus and sheet conveying method
According to one embodiment, a sheet processing apparatus includes: a paper feeding section configured to receive a sheet and feed the sheet; a detecting section configured to detect the fed sheet; a standby tray on which the fed sheet is stacked, the standby tray including a first roller configured to convey the sheet; a second roller configured to pivot to come into contact with and separate from the first roller; a processing tray on which the sheet dropped and supplied from the standby tray is stacked, the processing tray holding the sheet while requested processing is applied to the sheet; a paper discharge section configured to receive the sheet subjected to the processing and discharged from the processing tray; and a control section configured to separate the second roller from the first roller according to a result of the detection during conveyance of the sheet to the standby tray.
US08622389B2 Method and device for processing value documents
The present invention relates to an apparatus for processing documents of value having a sensor device for checking the documents of value and a transport device by means of which the documents of value are transported along a transport direction past the sensor device. On a side opposing the sensor device there is disposed a guide element for passively guiding the document of value, which is moved as soon as several documents of value are transported at the same time past the sensor device. By the guide element according to the invention, documents of value can be reliably and accurately checked without great effort.
US08622387B2 Paper out mechanism and printing apparatus with paper out mechanism
A paper out mechanism includes a paper tray, a holding tray, and an actuating device. The holding tray is slidably attached to the paper tray. The actuating device is located on the paper tray and meshed with the holding tray. The holding tray is slidable relative to the paper tray driven by the actuating device.
US08622380B2 Sheet feeding device and image forming apparatus
A sheet feeding device includes drawing and conveying a sheet, applying negative pressure for sheet suction via a suction opening, moving a shutter member between a closing position where the suction opening in an upstream side in a sheet feeding direction is partially closed and an open position where the suction opening is opened, and controlling the shutter member to follow a preceding sheet that is drawn onto the suction conveyance member to move to the downstream side in the feeding direction to close the suction opening, and return to an open position at a predetermined timing to draw the sheets onto the suction conveyance member in a state where an upstream edge of the preceding sheet in the feeding direction and a downstream edge of the subsequent sheet in the feeding direction are overlaid by a predetermined amount.
US08622376B2 Vibration isolator
A vibration isolator for insertion into a support structure opening includes a first isolator body having a first body portion at a first body end and a first isolator wall extending from the first body portion toward a second body end. The first body portion has a larger perimeter than the second body end and defines a first fastener opening and the second body end defines a second fastener opening. The second fastener opening has a perimeter greater than the perimeter of the first fastener opening. The first body portion has a perimeter that is at least three times greater than the perimeter of the first fastener opening and at least two times greater than the perimeter of the support structure opening.
US08622371B1 Anchored aerator
The anchored aerator has a non-buoyant sunken base and a plurality of rigid guide columns extending upward therefrom. A toroidal float is captured between the guide columns, and rises and descends with changes in water level. A down tube passes through the center of the float. A diffuser having a number of radially extending diffuser pipes is installed at the lower end of the down tube. Air is supplied from a remote source, either land-based or based upon a floating vessel. The remote source may supply air to more than one aerator. An air supply line extends from the remote air source to each aerator. Each supply line is supported by one or more rigid columns anchored into the floor of the body of water. The primary aerator structure floats to hold the aerator at a constant level, and is held in position by restraining cables.
US08622370B1 Aerator air distribution manifold
The aerator air distribution manifold has a central plenum disposed at the lower end of a concentric rigid downpipe or duct, and a radial array of diffuser tubes extending from the plenum. Since the aerators are buoyant, the aeration tubes are deployed at a constant, uniform depth below the surface of the water at all times, regardless of the water level. All of the aerator manifolds receive their air supply from a remotely disposed air source. The air source may be based on shore, or may be based upon a ship or other floating vessel. A flexible air supply line or hose extends from the air supply to each of the buoyant aerators, the hose being supported by one or more rigid columns or poles anchored into the bottom of the body of water in which the aerators are placed.
US08622366B2 Manually operable drive module
A valve drive system has a valve drive device and a hand-operated apparatus to trigger control actions in the valve drive device. The hand-operated apparatus includes a magnet arrangement, and the valve drive device includes a magnetic field sensor arrangement adjusted to the magnet arrangement of the hand-operated apparatus.
US08622362B2 Suspension system
A suspension system includes a base frame, a carrier frame and a linkage mounted on the base frame and supporting the carrier frame. The linkage includes a first arm pivotally coupled to the frames and a second arm pivotally coupled to the frames and pivotally coupled to the second arm about a linkage pivot axis. A rotary motor has a housing and a shaft which rotates with respect to the housing. The shaft is aligned with the linkage pivot axis. The housing is non-rotatably coupled to the first arm. The shaft is coupled to a transmission which is coupled to the second arm.
US08622359B2 Mounting for a portable device
A mounting apparatus for a portable device having a body with a base covered by a cover having an exterior interface surface, the base and cover substantially enclosing an interior space therebetween with two pivots in spaced apart positions between the base and cover. A pair of substantially rigid arms crossing in a substantially X-shaped pattern and spreadable about the interface surface of the cover are set within the body. Each of the arms has: a rotation portion pivotally coupled to one of the pivots, a pair of substantially upright clamping fingers adjacent to opposite distal end portions thereof and positioned externally of the interior space of the body and projected upwardly above the exterior interface surface of the cover portion thereof, and a handle projected therefrom. A biasing member is coupled between attachment points of the arms for biasing together opposing pairs of the clamping fingers.
US08622346B2 Fuselage structure made of composite material
A fuselage structure, particularly an aircraft door (1) of composite material comprising at least one panel (2) and at least one beam (3) mounted to each other and the panel (2) with the panel (2) being formed of at least one group of composite layers (5, 6, 20). The at least one beam (3) is provided at least at one of its respective ends (10, 11) with a flange (13) suitable for adhesive engagement with the at least one panel (2). The at least one group of composite layers (5, 6, 20) of the panel (2) is in form locking engagement with this flange (13) of the beam (3).
US08622343B2 Aircraft grounding system
Liquid dispensing assemblies including adhesive anchoring assemblies configured to adhere to a support surface external to a device such as a vehicle. An air vehicle includes (a) a fluid adhesive container assembly detachably attached to the air vehicle, wherein the fluid adhesive container assembly comprises: (i) an adhesive container comprising fluid adhesive; and (ii) one or more fibers, wherein the one or more fibers are configured, or a brush of fibers, or a fabric of fibers, is configured to conduct the fluid adhesive and to structurally support an adhesive bond between the one or more fibers and a surface; and (b) means for dispensing the fluid adhesive from the fluid adhesive container, to the one or more fibers.
US08622335B2 Ducted fan VTOL vehicles
A vehicle including a fuselage having a longitudinal axis and a transverse axis, two Ducted Fan lift-producing propellers carried by the fuselage on each side of the transverse axis, a pilot's compartment formed in the fuselage between the lift-producing propellers and substantially aligned with one side of the fuselage, a payload bay formed in the fuselage between the lift-producing propellers and opposite the pilot's compartment, and two pusher fans located at the rear of the vehicle. Many variations are described enabling the vehicle to be used not only as a VTOL vehicle, but also as a multi-function utility vehicle for performing many diverse functions including hovercraft and ATV functions. Also described is an Unmanned version of the vehicle. Also described are unique features applicable in any single or multiple ducted fans and VTOL vehicles.
US08622334B2 System and method for reducing the noise of pusher type aircraft propellers
A system and method for reducing the noise penalty of a pusher propeller, allowing an aircraft to retain its advantages for UAV configurations, while allowing acoustic performance similar to that of a tractor propeller by reducing, or eliminating, propeller noise emissions. The system and method provide an airfoil-shaped flight surface with (i) a scoop configured to route boundary layer air and associated wake from said flight surface, and (ii) a suction device configured to provide a suction pressure, wherein the scoop routes boundary layer air from the flight surface to the suction device via an opening in the flight surface.
US08622330B2 Mill liner assembly
A mill liner assembly for mounting on an inner diameter of a shell of a grinding mill. The mill liner assembly includes one or more shell plates for engagement with the shell, each of the shell plates having a cooperating portion thereof, and one or more lifter bars, each of the lifter bars having a mounting portion thereof, the mounting portion being receivable on the cooperating portion. The mill liner assembly also includes one or more layers having one or more substantially non-resilient materials and a substantially non-sticking surface. The layer is at least partially positioned on at least a first selected one of the cooperating portion and the mounting portion, to position the non-sticking surface thereof for engagement with a second selected one of the cooperating portion and the mounting portion, to impede adhesion of the shell plate and the lifter bar to each other.
US08622326B2 Method and apparatus for processing an ore feed
A sizing roller screen apparatus and method for processing an ore feed that includes sized and oversize ore portions and is received at an inlet are disclosed. The apparatus includes a roller screen having a plurality of adjacent screening rollers with interstices therebetween for permitting passage of the sized ore portions, the adjacent screening rollers being rotatable to cause a first sized ore portion to pass through the interstices as while the ore feed is transported along the roller screen. The apparatus also includes a sizing roller disposed generally above an opposing one of the adjacent screening rollers, the sizing roller being rotatable to fragment at least some of the oversize ore portions passing between the sizing roller and the opposing screening roller to produce a second sized ore portion, the second sized ore portion being sized for passage between the interstices.
US08622323B2 Filtering apparatus for inlet fluid into a pressure chamber of a sprayer
A backpack sprayer having a tank with a filling opening at the top thereof and a pressure chamber therein is provided with filtering apparatus for preventing particles in the fluid in the tank from entering an inlet port of the pressure chamber when suction generated by a pump causes fluid in the tank to flow through the inlet port into the pressure chamber. Pressurized fluid can flow through an outlet port from the pressure chamber to a nozzle, via a hose in communication with the outlet port. The filtering apparatus is provided by a filter in a frame removably captured in a fixture projecting outwardly from the outside of the pressure chamber on opposite sides of the inlet port. A handle extends from the frame upwardly along the outside of the pressure chamber a sufficient distance to be accessed manually by an operator through the filling opening of the tank.
US08622312B2 Method and apparatus for interfacing with a smartcard
A device may be configured such that a smartcard may be physically mounted in, on, and/or to the device. The device may comprise one or more circuits that enable communicating over a far-field communication link and that enable communicating with a smartcard when the smartcard is physically mounted in, on, and/or to the device. The far-field communication link may be an ISO 18000-7 link. The device may communicate with a smartcard via contacts and/or via near-field communications. The device may be operable to relay data between a near-field communication link between the device and a smartcard and a far-field communication link between the device and another device. The device may be generally rectangular in shape, less than one centimeter thick, than one centimeter longer than an ISO 7816 compliant smartcard, and less than one centimeter wider than an ISO 7816 compliant smartcard.
US08622311B2 Coin having integrated RFID identification device and method for the production thereof
The invention relates to a coin comprising a preferably disk-shaped metal base element (2) and at least one flat insert element (4), which is received in the disk-shaped metal base element (2). Advantageously, the flat insert element (4) is made of a non-conductive material, preferably plastic, and at least one RFID identification device (5) is provided in the flat insert element (4).
US08622310B2 Token comprising improved physical unclonable function
The invention relates to a token, to an integrated circuit comprising the token, to a method of randomizing the token and a system for randomizing the token. The token comprises a physical unclonable function and comprising probing means for probing the physical unclonable function. The physical unclonable function comprises a capacitor comprising a dielectric medium being arranged at least partially between the electrodes of the capacitor. The dielectric medium is configured for contributing to a capacitance value of the capacitor and comprises conducting particles substantially randomly dispersed in the dielectric medium. The conducting particles comprise a phase changeable material being changeable between a first structural state having a first conductivity and a second structural state having a second conductivity different from the first conductivity.
US08622309B1 Payment cards and devices with budgets, parental controls, and virtual accounts
A payment card is provided with a display. A user may enter budget amounts and budget expiration dates into a card. Such information may be communicated via a dynamic magnetic stripe communications device such that future purchases adhere to the budget amount and budget expiration date attributes set by a user. Users may set budget information for other users. For example, a parent may set budget information for a child.
US08622308B1 System and method for processing transactions using a multi-account transactions device
Various account transaction devices are disclosed. One account transaction device comprises a top portion having a front side and a back side, wherein the front side includes a first account and the back side includes at least one identifier associated with a second account. The device also comprises a bottom portion having a front side and a back side, wherein the front side includes the second account and the back side includes at least one identifier associated with the first account. Also, a hinge connecting the top portion to the bottom portion, wherein the top and second portions are relatively movable between a first closed position where the top portion displays the first account and the bottom portion displays the at least one identifier associated with the first account and a second closed position where the top portion displays the at least one identifier associated with the second account and the bottom portion displays the second account. The customer may selectively determine whether a transaction is to be posted to the first account or the second account at the point of sale, after the transaction, or based upon predetermined rules or selections. Other account transaction devices are disclosed.
US08622307B2 Identification system and applications
The invention relates to an identification system, comprising an information carrier or a group of such information carriers, which allow a clear association of information. The invention further relates to the use of said information carriers, and to a device for reading said information carriers, wherein the information carriers are associated with a random action of a data processing system by way of the structured information layer thereof or can trigger such action. The invention furthermore relates to information carriers for access control systems, payment systems, ticket systems, and marketing application. According to the invention, overlaps of the information layer can be provided by applying additional layers, which achieve several advantages according to the invention, such as optically rendering the information layer unrecognizable, and resulting increased safety of the information carrier against manipulation. In addition, the information layers can be applied in an absolutely level and thin manner onto the carrier material, which enables unlimited further processing.
US08622304B2 Imaging reader and method with combined image data and system data
An imaging reader for, and a method of, imaging targets, include a solid-state imager supported by the reader and having an array of image sensors for capturing return light from a target over a field of view, and for generating image data corresponding to the target; an application specific integrated circuit (ASIC) operatively connected to the imager via an image data bus over which the image data is transmitted from the imager to the ASIC, and via a system bus over which system data for controlling operation of the reader is transmitted, the ASIC being operative for combining the image data and the system data to form combined data; and a controller operatively connected to the ASIC, for receiving and processing the combined data from the ASIC.
US08622301B2 Cellular phone, control method, control program, and face panel used for the cellular phone
A two-dimensional code B of a face panel or the like photographed by the camera 6 is analyzed. An analysis result of the two-dimensional code B is compared with each of key words stored in a memory 5. When there is a key word that corresponds with the analysis result, a mask of the content corresponding to the coincident key word is removed to execute a process corresponding to the content. This makes it possible to show a user the content so that the content is added, and it is possible to show the content like a purchase privilege such as a face panel. For this reason, it can be expected that amusement thereof is improved, and a number of face panels become widely used.
US08622298B2 Loading system and method for loading a cargo hold of an aircraft
A loading system for loading a cargo hold (2) of an aircraft (1), containers, pallets or like ULDs (20) for accommodating freight being introduced into the cargo hold through an access opening, being transported by means of a transport device (60) and being fastened in transport positions. With the ULDs are identification devices (30), e.g. RFID transponders, which identification devices have storage devices for storing first data, especially identification data. The system comprises at least one first reading device (40) for reading the first data of a ULD introduced into the cargo hold, and at least one first transmitter device (50) for transmitting the first data to at least one first recipient (11).
US08622288B2 Money handling system
A money handling system includes a money handling machine that has a money storage unit for storing money, and a money recycling unit for temporarily storing money taken from the exterior of the money handling machine after the money is recognized. The money recycling unit is adapted to feed the money temporarily stored therein to the money storage unit and/or to dispense the temporarily stored money to the exterior of the money handling machine. A wrapped-coin dispensing unit for storing wrapped coins and for dispensing the wrapped coins to the exterior of the money handling machine is also provided. Finally, a central control unit communicates with various components of the money handing machine, while being isolated therefrom.
US08622287B2 Methods of establishing credit
A method for enhancing credit of a cardholder of a pre-paid card may include issuing a pre-paid card to a cardholder. The cardholder may be charged a fee to establish a credit instrument associated with an account and the pre-paid card. The cardholder may be billed a portion of the credit instrument that includes the fee on a periodic basis, where the billing may be independent of billing for purchases of goods and services with the pre-paid card. A determination as to whether the cardholder timely paid the bill. If the cardholder timely paid the bill, then the payment may be recorded as being timely paid. Otherwise, a recording may be made that the bill was not timely paid. A reporting as to the timeliness of the payment may be made to affect a credit score of the cardholder.
US08622283B2 Remote control apparatus and communication system
A remote control apparatus for communicating with an electric apparatus by radio communication is provided. The remote control apparatus includes a reader/writer for carrying out reading out and writing of information from and into an information recording medium; a transmission/reception section configured to transfer a signal to and from the electric apparatus by the radio communication; and a control section having a power control function for controlling the power of the reader/writer; the control section controlling the reader/writer to the low power consumption state when the power supply to the remote control apparatus is made available.
US08622281B2 Tamper-evident dispensing spout
A tamper-evident dispensing spout is configured for use on single-serve cartons, such as paper-based gable-topped cartons. The spout structure includes a spout body having a generally cylindrical wall portion, and a mounting flange extending outwardly from one end of the wall portion. The spout body defines a dispensing opening generally opposite the flange portion, with an opening member hingedly mounted on the spout body for movement from a first, sealed position, to a second, opened position for consumption of the container's contents. The opening member preferably includes a locking element so that the opening member is conveniently retained in its opened position during use.
US08622277B2 Apparatus for welding a flange of a guide thimble tube in nuclear fuel assembly
Disclosed therein are an apparatus for and a method of automatically welding a flange to a guide thimble tube in a nuclear fuel assembly. The automatic welding apparatus includes: a welding part located on an axially conveying line of a tube for welding a welded surface of the tube inserted thereinto and a welded surface of a flange; a flange supplying part for supplying the flange at a tube inlet of the welding part onto the axially conveying line; and a conveying part mounted on the axially conveying line in such a way as to move the tube and the flange on the axially conveying line, so that they are inserted into and drawn from the welding part.
US08622276B2 Assembly jig for a semiconductor device and assembly method for a semiconductor device
In aspects of the assembly jig and method of the invention, when a packaging substrate is curved concaving upward at temperatures of melting solder, the gap between the assembly jig and the packaging substrate can be made smaller than the dimension of the sum of the thickness of the semiconductor chip and the thickness of the melted solder by allowing a part of the bottom surface of the chip positioning piece to become always, or substantially always, in contact with the upper surface of the packaging substrate owing to the weight of the chip positioning jig itself. As a consequence, the semiconductor chip does not slip aside out of the opening of the chip positioning piece. Therefore, the semiconductor chip can be positioned accurately on the packaging substrate.
US08622272B2 Setting tool
A setting tool for driving fastening element in constructional components includes a setting mechanism having a combustion chamber (111) for generating expanding gases, a piston guide (117) adjoining the combustion chamber (111), a bolt guide (116) adjoining the piston guide (117) in the setting direction (140), and a drive piston (10) displaceable in the piston guide (117). The piston includes a piston head (11) and a piston body (12) connectable along an interface (13) with the piston head (11), the piston head (11) and the piston body (12) having, respectively, in an interface region, at least one stop surface (14) and at least one counter-stop surface (15) formed by surfaces defining essentially complementary tapering regions.
US08622267B2 Vehicle receiver hitch supported lockable sporting equipment carrier
A vehicle receiver hitch supported lockable sporting equipment carrier provides a receiver hitch beam, structurally carrying an upwardly extending vertical beam. A horizontal support beam is structurally carried by the vertical beam, at an end portion opposite the receiver hitch beam. The horizontal support beam defines an axial channel extending therethrough for releasable engagement with a locking bar. The locking bar has one leg that releasably engages with the axial channel defined in the horizontal support beam, and a second leg that releasably engages with a hole defined in the vertical beam, spacedly adjacent the horizontal support beam. A lock hasp hole defined in an end portion of the locking bar provides for engagement of a lock.
US08622266B2 Load carrying system for motor vehicles
A load carrier has a flat tray-like body that attaches to a vehicle by load bars. Multiple components may be installed on the tray body in many locations on the tray body, for containing and/or supporting cargo. One component is a cargo container that is captured and locked in one of several possible positions on the tray body. Other components are cargo posts that include one or more upending towers for supporting cargo by capturing generally vertical portions of the cargo between the towers, wherein the cargo posts may be non-pivotal, pivotal, slidable and/or adjustable. Another component is a hooked or curved depending assembly that suspends cargo from the edges of the tray body.
US08622265B2 Sling system
A sling system comprises a sling strap and an attachment strap. The sling strap has a first keyhole clip coupled to the sling strap. The first keyhole clip includes a first opening and a second opening smaller than the first opening in at least one dimension and in communication with the first opening. The attachment strap comprises an elastomeric body having a head extending from the body, a first neck between the head and the body, a second neck between the first neck and head and a collar between the first neck and the second neck. The first opening is larger than the head. The second opening is smaller than the head. An aperture through the body surrounds the first neck sandwiched between the collar and the body. The second opening surrounds the second neck sandwiched between the collar and the head.
US08622264B2 Container holder with fasteners
One design embodiment of a holder for a container comprising: one or more bands each capable of retaining a container inside the band(s), with straps attached to and extending away from the exterior of the band(s); with fasteners on the ends of each strap such that container within the band or bands are held in a stable position relative to a harness to be worn by a user. The design embodiment allows easy attachment, use and deployment of containers in a variety of environmental conditions and situational uses, including but not limited to the carrying of gas supplies for underwater divers.
US08622260B2 Multi-phase oral composition dispenser with adjustable flow
A flow control device for dispensing a multi-phase oral composition. The device includes a housing, a restrictor and an insert that fits within and cooperates with the housing and restrictor to dispense the oral composition. A flowpath is formed in and around the insert, as well as in the housing such that the composition passes through one or more channels defined by the cooperative arrangement of the insert and housing. Changes in relative position between the insert and the housing facilitate selective alignment of the various channels with a discharge orifice formed in the housing such that a user can vary the way the proportions of discreet fluids making up the oral composition are dispensed through said orifice.
US08622254B2 Dispensing pump with resilient biasing member
A dispenser pump is mounted to an opening of a container to allow a user to dispense a cosmetic product in the container to the outside by a predetermined amount through a pumping operation. The dispenser pump allows a user to dispense contents by a predetermined amount through a cylinder (20) installed in a pump body (10a) by pressing a push button (40), the dispenser pump includes a pump body (10a) mounted to an opening of a container and to which a cylinder (20) is mounted; a cylinder (20) mounted to the pump body (10a); and a resilient member (30) mounted between the cylinder (20) and a working piston rod (26) and does not contact the contents to prevent hardening of the resilient member 30 and deterioration of the contents.
US08622248B2 Modular dye meter and method of preparing compounds
A method of preparing compounds comprising a plurality of components, the method comprising providing a modular dye meter, introducing component into one or more than one modular batching member of the modular dye meter, where the compound to be prepared comprises the one or more than one component, activating the internal rotor of one or more than one of the batching and delivering devices, thereby causing the internal rotors to rotate in the first direction, where rotation of the internal rotor moves component through the progressive recesses of the batching and delivering device through the corresponding delivery duct and through the dispenser, and thereby into a vessel for containing the compound, causing the rotation of the internal rotor in the first direction to cease, causing the internal rotor to rotate in a second direction, where the second direction is opposite to the first direction, thereby moving component back through the delivery duct into the corresponding batching and delivering device, and causing the rotation of the internal rotor in the second direction to cease.
US08622247B2 Light up liquid projection device and method thereof
A light up projection device with a housing and an actuator. The housing has a cavity reservoir for storing a liquid, a front end with an aperture, and a rear end with an opening. The actuator has a handle portion and a plunger portion extending therefrom. The plunger portion encloses the opening of the rear end of the cavity reservoir and interacts with the cavity reservoir to vary the volume of the cavity reservoir. A light source disposed within the actuator illuminates the actuator, the cavity reservoir and/or the liquid being projected from the device. The light source is off when the handle portion abuts the housing. The light source is on when the handle portion is pulled away from the housing, such as when liquid is being drawn into the cavity reservoir or when liquid is being projected from the cavity reservoir through the aperture.
US08622245B2 Sealing condition of multiple-container, in particular double-tube, packages designed for instant preparation
A double dispensing container including an outer container and an inner container, each container including a body and a head provided with a neck and a shoulder linking the neck to the body, the neck having a side wall and one or more dispensing orifices, the neck of the inner container being housed inside the neck of the outer container and having a section such that it provides one of more outflow passages for a pasty product A and, in combination with the inner surface of the outer container neck, one or more outflow passages for a pasty product B emerging onto a single or multiple orifice dispensing the product B. The inner neck is extended by a summit portion which is linked to the inner neck via a thin annular zone and which closes the single or multiple orifice dispensing product A.
US08622243B2 Photochromic optically keyed dispenser
A removable and replaceable keying component which is required for operation of a mechanism and which component includes a waveguide having a photochromic portion. A method of controlling operation of a mechanism, preferably a dispenser, having a removable component comprising the steps of measuring electromagnetic radiation passing through a waveguide carrying at least in part on the removable component and permitting operation of the mechanism only when the measured electromagnetic radiation corresponds with one or more pre-selected parameters. Preferably, the method involves directing emitted electromagnetic radiation with pre-selected input parameters selected from a plurality of input parameters.
US08622238B1 Rotatable pull-tab assembly
A rotatable pull-tab assembly for a beverage container having a handle member which is displaceable from a storage position to a seal plate opening position, a seal plate opener which causes a seal plate of a beverage container to open upon displacement of the handle member, and a cover plate member which substantially covers an opening of a beverage container when the rotatable pull-tab assembly is in a closed position.
US08622234B2 Packaging method and packaging container
A packaging container comprises an openable outer case and a bag for packaging a resin material in the outer case. The bag is partially turned back at fold portions so that part of the bag is turned inside out, and at least part of the out-turned part is fixed as a fixing part to any part other than the bag. The inner surfaces of the bag at the fold portions are placed in contact with each other, forming a contact portion, to seal the resin material. The contact portion is placed in correspondence with an openable part of an opening flap of the outer case.
US08622233B2 Packaging container
A packaging container includes an outer container having a containing space and a passage opening, and a packaging bag for containing an object. The packaging bag includes a containing portion, an opening portion, and a handle portion. The handle portion includes strip-like members each connected to the containing portion at two connection portions. The handle portion has a length equal to or larger than half a length of the object along the passage opening when the handle portion is extended in a direction along the passage opening. When the handle portion is extended in a direction through the passage opening, the handle portion has a length with which the handle portion is allowed to protrude away from a surface of the object adjacent to the passage opening toward the passage opening and the connection portions are located at positions separated away from the surface toward the passage opening.
US08622228B2 Boom hoist transportation system and crane using same
A mobile lift crane includes a boom pivotally mounted on a rotating bed and a boom hoist drum mounted in a frame connected to the rotating bed. A boom hoist line is wound on the boom hoist drum and connected to an upper equalizer and a lower equalizer, with the upper equalizer connected to the top of the boom, such that rotation of the boom hoist drum changes the amount of boom hoist line between the lower equalizer and the upper equalizer, thereby changing the angle between the rotating bed and the boom. The boom hoist drum frame, the lower equalizer and the upper equalizer each include cooperating attachment structures whereby the equalizers can be detachably connected to the frame so that the boom hoist drum, the lower equalizer, the upper equalizer and the boom hoist line can be transported between job sites as a combined assembly.
US08622222B2 Membrane cleaning with pulsed airlift pump
A method of cleaning a membrane surface immersed in a liquid medium with a fluid flow, including the steps of providing a randomly generated intermittent or pulsed fluid flow along the membrane surface to dislodge fouling materials therefrom. A membrane module is also disclosed comprising a plurality of porous membranes (6) or a set of membrane modules (5) and a device (11) for providing a generally randomly generated, pulsed fluid flow such that, in use, said fluid flow moves past the surfaces of said membranes (6) to dislodge fouling materials therefrom.
US08622210B2 Display package
A packaging assembly for displaying and holding a light fixture and an accessory thereof generally includes a bottom base portion and an upper portion. The bottom base portion has an open compartment dimensioned to receive a base of a light fixture. The upper portion is coupled to the bottom base portion and includes a hollow compartment dimensioned to receive an accessory for the light fixture.
US08622204B2 Jewelry display stand
A jewelry display stand having a main body portion simulating the neck and upper torso of a person. A necklace can be draped over the front of the main body portion, which is hollow on the interior, so that the end of the necklace can either be inserted into an opening in the back of the main body portion communicating with the interior thereof, or hooked under a tab on the back of the main body portion. A retractable panel is provided on the back of the main body portion for supporting the same in an upright position.
US08622201B2 Device for transporting objects
A device for transporting objects having a first conveyor and a second conveyor which are guided via a coiled or spiraling conveyor line at least regionally parallel and at a horizontal distance to one another. The device contains a transfer unit, which can be moved in the gap between the conveyors with the aid of a travel drive, for transferring objects between the first and the second conveyor. The transfer unit supports a third conveyor, which can be driven via a conveyor drive independently of the first and second conveyors.
US08622196B1 Roller sorter system
This device feeds and sorts tablets or small parts from a common hopper to a product count sensor head. Two parallel feed rolls and two transport rollers rotate together on an adjustable incline. The feed rolls are positioned above the transport rolls. The rotation direction of each feed and transport roller can vary along with its speed. As the feed rollers rotate the feeding threads will push out the product from the hopper and drop the product onto the transport rollers which will sort them along the length of the transport rollers. As the roller angle increases so does the product feed rate.
US08622195B2 Permanent magnet air heater
A permanent magnet air heater has a housing with an internal chamber accommodating an electric motor rotating a fan to move air through the housing. A non-ferrous member having bores for cylindrical magnets and a steel member with a copper plate secured to the steel member are rotated relative to each other by the motor whereby the magnetic field between the magnets and copper plate generates heat which is transferred to air in the housing moving through the housing by the fan.
US08622191B2 Detection device
A device for sensing predetermined characteristics of an object such as a coin or a token in order to determine its validity or genuineness and in some cases its denomination, the device including a combination of optical and electromagnetic sensors which operate together along a coin path and capable to analyze the coin or token in different positions so that if there are multiple holes or rings of transparent material they can be sensed and used to determine the coin or token's validity and denomination.
US08622180B2 Methods and apparatus for variable damping adjuster
Methods and apparatus for a damper adjustment assembly that comprises an adjuster having a first detent at a first axial location, and a second detent at a second axial location. The assembly may further comprise a housing having a keeper for engaging the first and second detents. The method may comprise rotating the adjuster within the housing, and engaging the keeper with the first detent at the first axial location. The method may further comprise further rotating the damping adjuster within the housing and engaging the keeper with the second detent at the second axial location on the adjuster.
US08622178B2 In-hub braking system for manual wheelchairs
An in-hub braking system for a wheelchair comprises a brake shell fixed against rotation movement in relation to a wheelchair frame. A wheelchair drive wheel having a hub supporting a braking system is supported for rotational movement in relation to the brake shell. A lever is operatively connected to the braking system for activating that braking system to cause the braking system to frictionally engage the brake shell. A push rim, which when urged forward, rotates the drive wheel in a forward direction to propel the wheelchair in a forward direction. When urged rearward together with the drive wheel, the push rim rotates with the drive wheel in a rearward direction to propel the wheelchair in a rearward direction. The push rim is operatively connected to the lever so that the push rim, which when urged rearward independent of the drive wheel, operates the lever to activate the braking system to frictionally engage the brake shell.
US08622173B2 Convertible deck deployment system, and method for transferring personnel between spaced elevations
A deck deployment system is adapted to facilitate transfer of personnel between spaced elevations. The deck deployment system includes a deck defining a substantially flat and continuous deck surface for supporting personnel. A convertible stairway is hinged to the deck and adapted for movement between a stowed condition and a deployed condition. The convertible stairway includes an adjustable frame assembly and a series of articulating horizontally-disposed foot treads carried by the frame assembly. The foot treads remain substantially horizontal upon movement of the stairway between the stowed condition and the deployed condition. When the stairway is in the stowed condition, the foot treads integrate with the deck to form a substantially flat and continuous portion of the deck surface. When the stairway is in the deployed condition, the foot treads cooperate to form steps extending from the deck to an elevation spaced from the deck surface.
US08622172B2 Window jack scaffold and method of operation thereof
A method and apparatus for providing access to the exterior of a building in proximity to an opening, such as a window or door opening, in a wall of the building. Access is provided by a platform that is supported by brackets from vertical members fastened to the interior of the building. The platform may be passed from the interior of a building to the exterior of the building through an opening in the building. The apparatus may be assembled in, and installed from, the interior of a building.
US08622168B2 Motorcycle exhaust system structure including a resonator provided to an exhaust pipe
In a motorcycle in which an internal combustion engine including a crankcase, a cylinder, and a cylinder head is attached to a vehicle body frame, an exhaust system includes an exhaust pipe connected to the cylinder head, and a resonator having a communication hole in communication with an inside of the exhaust pipe. The exhaust pipe is curved after being extended forward from the cylinder head and is then extended rearward in such a manner as to pass by a lateral side of the cylinder above the crankcase, while the resonator is arranged in a space between the exhaust pipe and the cylinder, and is joined to a side surface of the exhaust pipe facing inwardly of the motorcycle.
US08622162B2 Engine compartment cooling system
An engine compartment cooling system includes an axial fan assembly and a remote mount fluid cooler assembly. The axial fan assembly and the remote mount fluid cooler assemblies are mounted in clear holes in at least one of the quarter panels. The axial fan assembly exhausts engine heat outwardly from the engine compartment through the quarter panel. The fluid cooler assembly receives ambient air through the quarter panel into the engine compartment. The axial fan and fluid cooler assembles are controlled using, sensors, signal data, and a controller. The controller is programmed with the operation data so that the cooling system optimizes engine cooling while varying operation of the engine's cooling system, and the axial fan and the remote mount fluid cooler assemblies according to the invention.
US08622161B2 Installation structure for electrical equipment in rear vehicle body
An installation structure includes a member 7 extending in a longitudinal direction of a vehicle and provided on the lower face of a bottom 6a of a spare tire housing 6, a charger 1 provided with fins 2 under the charger 1, the charger 1 installed in the tire housing 6, and a blower 15 for cooling the charger 1 provided in the tire housing 6, so as to lead air from the blower 15 to the fins 2; the installation structure further includes linear first and second brackets 9 and 10 disposed in parallel to each other with a distance therebetween, so as to be joined onto the upper face of the bottom 6a of the tire housing 6, wherein the charger 1 is placed on the first and second brackets 9 and 10 so as to arrange the fins 2 and the first and second brackets 9 and 10 in parallel to one another, the blower 15 is disposed in the vicinity of an aperture 14 surrounded by the first and second brackets 9 and 10 and the charger 1, and the second bracket 10 is joined together with the member 7 and the tire housing 6 to one another.
US08622158B2 Modular transport apparatus
A transport apparatus for use in modular construction, specifically a dual-deck hydraulic apparatus that, while carrying a payload, can detect topology changes and adjust accordingly to keep the payload in substantially the same position. The apparatus can efficiently transport modular building components while preventing torsion and other damage. The apparatus can also adapt to off-load modules to foundations or multi-story heights.
US08622151B2 Feed cable system for a tower of a drilling machine
A drilling machine includes a feed cable system which operatively couples a rotary head to a tower. The feed cable system includes first and second pull up cables and an equalizer bar, wherein the equalizer bar is coupled to the first and second pull up cables. The equalizer bar drives the rotary head to be held in a level position so that it is restricted from tilting. The drilling machine includes a slack take up device which couples the equalizer bar to the tower. The slack take up device is repeatably moveable between extended and retracted conditions.
US08622144B2 Method of, and apparatus for the dispensing of decontaminants and fire suppressant foam
This invention comprises a method of dispensing water-based decontaminants from a dispenser, comprising the steps of: (a) filling one or more tanks each with respective solutions of chemicals and water; (b) pumping the solutions from the tanks in respective hoses, each of the tanks being evacuated under the urging of a respective pump; (c) mixing the solutions at a static mixer after evacuation of the solutions from the tanks to form a mixture; (d) adding water to the mixture at the static mixer; and (e) dispensing the water-added mixture onto an incident site.
US08622140B2 Jet pump and multi-string tubing system for a fluid production system and method
A method to produce formation fluid from an oil or gas well. The methods employs a jet pump and a spoolable multi-string tubing system. The jet pump is adapted to produce formation fluid, which may be produced in combination with power fluid. The multi-string tubing system consists of two or more tubing conduits, allowing surface pump equipment to deliver power fluid to the jet pump down a supply tubing string, while return fluid is returned up a return tubing string. Other downhole functions can be provided with the inclusion of additional features on the jet pump and additional conduits or conductors in the multi-string tubing system. Preferred embodiments provide additional functionality by inclusion of a jetting sub, sensing elements, or a back-pressure valve to the jet pump, and auxiliary tubing strings or communication members to the spoolable multi-string tubing system.
US08622138B2 Apparatus and method for recovering fluids from a well and/or injecting fluids into a well
Methods and apparatus for diverting fluids either into or from a well are described. Some embodiments include a diverter conduit that is located in a bore of a tree. The invention relates especially but not exclusively to a diverter assembly connected to a wing branch of a tree. Some embodiments allow diversion of fluids out of a tree to a subsea processing apparatus followed by the return of at least some of these fluids to the tree for recovery. Alternative embodiments provide only one flowpath and do not include the return of any fluids to the tree. Some embodiments can be retro-fitted to existing trees, which can allow the performance of a new function without having to replacing the tree. Multiple diverter assembly embodiments are also described.
US08622136B2 Method and apparatus for controlling fluid flow using movable flow diverter assembly
Apparatus and methods for controlling the flow of fluid, such as formation fluid, through an oilfield tubular positioned in a wellbore extending through a subterranean formation. Fluid flow is autonomously controlled in response to change in a fluid flow characteristic, such as density or viscosity. A fluid diverter is movable between an open and closed position in response to fluid density change and operable to restrict fluid flow through a valve assembly inlet. The diverter can be pivotable, rotatable or otherwise movable in response to the fluid density change. The diverter is operable to control a fluid flow ratio through two valve inlets. The fluid flow ratio is used to operate a valve member to restrict fluid flow through the valve.
US08622127B2 Olefin reduction for in situ pyrolysis oil generation
Methods for improving the quality of hydrocarbon fluids produced by in situ pyrolysis or mobilization of organic-rich rock, such as oil shale, coal, or heavy oil. The methods involve reducing the content of olefins, which can lead to precipitation and sludge formation in pipelines and during storage of produced oils. The olefin content is reduced by arranging wells and controlling well pressures such that hydrocarbon fluids generated in situ are caused to pass through and contact pyrolyzed zones in which coke has been left. This contacting chemically hydrogenates a portion of the olefins in the pyrolysis oil by reducing the hydrogen content of the coke.
US08622113B1 Apparatus and method for controlled optimized rapid directional solidification of mold shaped metal castings
A method and apparatus for directionally controlled rapid solidification of a molten metal casting provides modified mold flasks containing mold media defining a mold cavity into which molten metal is poured and thereafter solidified. The mold media is fluid permeable and electrically and thermally conductive so that coolants passing through the media conduct heat away from the molten metal to promote solidification. Apparatus carried upon and within the mold flask allow controlled application of coolants to dissipate heat in a controlled manner to promote solidification in a controlled direction. Ports defined in the flasks, spaces between the mold media particulates and coolant, directionally applied by a movable cooling ring provide controlled directional cooling and castings having improved mechanical characteristics, with greater speed and increased efficiency.
US08622112B2 Retractable, low-profile storm shield systems and methods
A system for protecting building penetrations is disclosed. The system can include a screen comprising flexible, strong fabric-like material suitable for resisting high winds, driving rain, and wind-driven missiles. The screen can further include loops sewn, or otherwise manufactured, into the span sides of the screen. The system can further include one or more retention channels having an internal hem rod. The loops in the screens can slide over the hem rod to guide and retain the screen in the retention channels. The hem rod can include a tapered end and a loop opener to facilitate the loops sliding over the end of the hem rod. The system can further include an enclosure for housing a take-up roll and a powered or manual rotating system. The system can include a deflection device to enable the screen to unroll off the take-up roll smoothly and vertically.
US08622108B2 Labeling machine for blood-sampling tube automatic preparation device
Provided is a labeling device for an automatic test-tube setting-up device wherein an identification label can be pasted over a product label with a simple structure. A labeling device for an automatic blood-sampling-tube setting-up device comprises a means for taking out a blood-sampling tube based on patient information and supporting the blood-sampling tube at a labeling position, a blood-sampling tube driving means for rotary driving the blood-sampling tube at the labeling position, a label printing means for creating an identification label by printing test information and/or patient information and outputting the identification label to the labeling position, a product label position detecting means for detecting the edge of a product label previously pasted to the outer surface of the blood-sampling tube, and a controller for controlling the operation of the label printing means and the blood-sampling tube driving means such that the identification label is pasted over the product label with a continuous gap left in the axial direction of the blood-sampling tube on the outer surface of a blood-sampling tube based on information about the diameter of a blood-sampling tube corresponding to the blood-sampling tube at the labeling position, and information about the edge of the product label obtained from the product label position detecting means.
US08622100B2 Oil collection assembly
A funnel and drain hose are interengaged in a specific orientation. The drain hose is positioned on the funnel with the opposed ends of the drain hose vertically positioned when the funnel is properly hung on a wall. The opposed ends of the drain hose face upwardly so that any residual oil trapped in the drain hose collects at the bottom of a U-shaped portion of the drain hose positioned on the funnel.
US08622097B2 Spill containment apparatus for storage tanks
A spill containment apparatus includes a container adapted to be coupled to an end of a riser pipe of a storage tank and having a proximal end and a distal end. A tubular socket is formed in the container adjacent its distal end for receiving the riser pipe therein. The socket includes a securing mechanism for securing the container to the riser pipe, wherein the securing mechanism is spaced proximally of the distal end of the container to define the socket. The socket is configured to allow the riser pipe to support and balance the container during coupling of the container to the riser pipe.
US08622092B1 Pipe connection member for connecting a pipe to a pair of parallel brackets
A pipe connection member for connecting a pipe to a pair of parallel brackets includes a pair of clamping members. Each clamping member has a pipe receiving opening extending therethrough. The inner sides of the clamping members cooperate to form a pair of parallel channels sized to receive the brackets. At least one fastener interconnects the clamping members for drawing them toward one another and against the brackets. One of the clamping members further includes a collet having integrally formed fingers extending outwardly around its pipe receiving opening. The other clamping member includes a countersink sized and positioned to receive the fingers such that as the clamping members are drawn toward one another the fingers are compressed against a pipe extending through the pipe receiving openings to fasten the pipe to the pipe connection member.
US08622089B2 Check valve and storage body equipped therewith
The present invention provides a check valve 10 through which a fluid can satisfactorily open and pass when the fluid flows forward, and a bag 1a including the check valve and having a function of satisfactorily discharging a fluid. This check valve includes a one-side airtight base sheet 31, an inlet 11, a valve sheet 61, an auxiliary sheet 51, and the other-side airtight base sheet 21. The portion between the other-side airtight base sheet 21 and the valve sheet 61 serves as a valve flow channel 13, and a fluid flowing forward passes through the valve flow channel 13 from the inlet 11 and flows out from the outlet 12. The valve sheet 61 is fixed at its upstream end by the fixation portion 14, is freely movable at its downstream end. On the fixation portion 14 and the one-side airtight base sheet 31 of the inlet 11, the introduction recesses 15 are formed.
US08622082B1 Check valve and method and apparatus for extending life of check valves
A device and method are described for extending the life of check valves. An improved check valve having a double poppet and tapered guides is more robust, and a check valve protection device between the check valve and the environment into which fluid is injected protects the valve from a contaminating or corrosive environment. The check valve and check valve protection device are small and light weight to prevent vibration-induced failures. The check valve protection device preferably has an interior volume that fills quickly by relatively few cycles of the lubricant pump to reduce delay of lubricant to the injection point.
US08622080B2 Pressure reducer
A pressure reducer having a high pressure inlet, a low-pressure outlet and a valve having a valve seat and a cooperating movable piston valve. With displacement of the piston valve away from the valve seat, a path between the high pressure inlet and the low pressure outlet is opened. In addition, a closing force caused by a low pressure acts on the piston valve towards the valve seat during operation of the pressure reducer. A spring is also provided for the purpose of exerting an actuating force on the piston valve to move away from the valve seat in the valve opening direction. A solenoid coil is configured to exercise a magnetic force superimposed on the closing force or the actuation force acting on the valve piston.
US08622079B2 Method for extending the usage life of oil in a deep fat fryer
A method and apparatus for extending the usage life of cooking oil used in a deep fat fryer in which the desired cooking oil usage life is determined. Upon the occurrence of a triggering event, the level of cooking oil in the vat of the deep fat fryer is varied between an upper first level and a lower second level by extraction of used cooking oil from the vat until the cooking oil level reaches the lower second level and subsequent input of fresh cooking oil until the level of cooking oil, used and fresh, in the vat reaches the upper first level.
US08622068B2 Miniature gas cabinet
The invention relates to a device for the supply of special gas that can be mounted on a gas cylinder. The device comprises a multi-function unit housing a fluid circuit comprising a single high-pressure regulator; a purge system consisting of three low-pressure valves; a fluidic connection with the inner space of a cylinder; and an outlet enabling equipment to be supplied with gas. The invention is characterized in that the high-pressure regulator is arranged upstream of the purge system.
US08622067B2 Separator arrangement and method for gas by-pass of a liquid pump in a production system
A separator arrangement in a multiphase production system. A closed separator container includes a top and a bottom, an inlet for production fluids at an inlet level and at least one outlet for at least partly separated liquid at a level lower than the inlet level. The container outlet is connectable to a liquid pump arranged downstream of the container. A gas accumulator is arranged for by-passing the pump. The gas accumulator includes an inlet end connectable to a top region of the container and an outlet end connectable to a discharge side of the pump for flow communication between the container and the discharge side of the pump. A region near the inlet end the gas accumulator is connected to a supply of injection liquid that is controllable via a valve to be injected to the discharge side of the pump via the gas accumulator. A method for gas by-pass of a liquid pump in a multiphase production system.
US08622059B2 Face mask with absorbent element
A face mask for reducing or eliminating fogging of eye wear worn by a user of the face mask is provided. The face mask may include a body portion that has an outer surface and an oppositely disposed inner surface. The face mask may also have an absorbent element that may be located on at least one of the surfaces of the body portion. The absorbent element may be capable of absorbing at least 3.5 grams of water and may act to absorb moisture and/or condensation due to human respiration and/or perspiration.
US08622053B2 Burner and method of its operation
A liquid fuel-fired furnace installation is composed of at least one fuel tank (1), a fuel evaporation plate (2), a fuel vapor distribution plate (3) and a valve cutting-off the vapor flow, whereas the fuel tank (1) is connected with an evaporation plate (2) via a conduit (7), and the evaporation plate (2) is equipped with a vapor outlet (8) connected with a cut-off valve (4) and a vapor distribution plate (3) is connected with an inlet stub pipe (11), and in its upper part the plate has holes (12) though which the fuel vapors are exhausted. The installation is characterized by having fuel (P) delivered gravitationally via the conduit (7) into the evaporation plate (2) according to the connected vessels rule, which assures that an aerial layer is left over the fuel table (13).
US08622047B2 Cleaning a pressure control function valve
A system and method cleans a fuel pump pressure control function valve having (i) an orifice linking a first region (e.g., a high pressure region) and a second region (e.g., a low pressure region) and (ii) a closing member biased to close the orifice when fuel pressure in the first region is below a threshold pressure. Cleaning the valve may include increasing the pressure in the first region to an overpressure condition, thereby causing the closing member to be moved to open the orifice such that a rapid flow of fuel occurs from the first region to the second region. A pressure control function valve can therefore be conveniently cleaned without requiring engine disassembly or adding additional physical elements. The fuel pump pressure control function valve may be part of safety or check valve of a fuel pump.
US08622028B2 Hands free dog walker
A hands free dog walking system includes a belt for attaching around a person includes a belt for attaching around a person and a traveler operatively connected to the belt to allow a leash operatively connected to the traveler to freely travel around the belt without entangling the person. The system may further include a leash assembly having a first rigid body having an inner end and an outer end, a second rigid body having an inner end and an outer end, a cord threaded through the first rigid body and the second rigid body, a first connector attached to the first end of the cord, and a second connector attached to the second opposite end of the cord.
US08622014B2 Cable connection system for underwater vehicle
A system for interconnecting a mother ship with a submersible vehicle using a cable towed by the mother ship. The cable has a first cable end connected to the mother ship and a second cable end to be connected to the submersible vehicle. The second cable end is provided with a first connector. A capturing mast is arranged at the submersible vehicle for capturing the cable towed by the mother ship. A connector holder is arranged at the submersible vehicle for holding the first connector when it is to be connected to a second connector. The second connector is connected to the submersible vehicle. A first guide is arranged at the submersible vehicle for guiding the first connector towards the connector holder of the submersible vehicle. A second guide turns the connector to a well defined position into the connector holder.
US08622008B2 Controlled spacing high density serpentine wave planting method and devices to accomplish the method and farming business methods
A method of seed planting in a “serpentine” pattern called the wave planter is demonstrated. It consists of a manner of placing the seeds that essentially results in equally spaced rows of seeds placed in a serpentine-like layout or wave instead of a straight row. The preferred method creates a wave pattern equidistant from the next, yet creates a substantial increase over the density of straight row planting devices and drills (e.g. parallel, essentially straight rows). The use of the wave pattern provide concrete and substantial benefits to the business and economics of farming through labor, energy, and maintenance savings over current planting methods which results in a new farming business method. The method may be accomplished by several devices. Some of the devices include an oscillating draw bar planter; oscillating tongue planter; elliptical row opener; steerable undercarriage for the planter and castor row openers under undercarriage.
US08621999B1 Coruscative white light generator
An apparatus and method for generating a very bright white light source. The apparatus is a coruscative white light generator, comprising: a shaped charge liner; and a radial line-shaped charge that initiates coruscative reactions in the shaped charge liner. In one aspect, the method comprises initiating coruscative reactions in a shaped charge liner using a radial line-shaped charge. In another aspect, the method comprises subtending a significant portion of an observer field of view while denying said observer the ability to identify targets and/or aim optical devices through large area coverage of about 3,000 to about 5,000 Kelvin particles.
US08621993B1 System and method for a baling machine safety actuator
A safety actuator apparatus for a baling machine comprising an extension member coupled to a baling machine having at least one baling chamber, the extension member having at least one end extending outwardly from the baling machine and a support member comprising a safety actuated retention component that aligns with and is configured to contact and restrain the at least one end of the extension member. The apparatus further comprises a detection device for identifying the presence of an obstacle in a predetermined path or a trajectory of movement of at least one component of the baling machine and a communication device configured to send a signal from the detection device to the safety actuated retention component and engage the safety actuated retention component to restrain the movement of at least one component of the baling machine.
US08621987B2 Electric skillet with magnetic self-reversing stirrer that is removable
A cooking skillet that provides a unique automatic stirring system for continuously stirring foods during cooking. The cooking skillet comprises a base, a receptacle, a heating element, and an automatic stirring system. The automatic stirring system comprises a stirring wand located in the receptacle and a bracket located in the base. The stirring wand and the bracket are attracted to each other through magnetic forces that provide sufficient magnetism to attract one to the other. A motor is attached to the bracket by a connecting rod and provides the means to rotate the bracket in a clockwise direction. The magnetism between the magnets in the bracket and the magnets in the stirring wand forces the stirring wand to rotate in connection with the bracket. During rotation, the stirring wand is designed to mix the food contained within the receptacle. A controlling box is used to control the power to the motor and provides a resisting sensor or reversing circuit to reverse the rotational direction of the stirring system as necessary.
US08621980B2 Temperature control system
A temperature control system and apparatus for controlling the temperature of a beverage contained within a beverage server. The temperature control system enabling a user to control of a plurality of attributes of temperature of a beverage from the making of a beverage until the dispensing and disposal of the beverage.