会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 2. 发明授权
    • Method of constrained aggressor set selection for crosstalk induced noise
    • 串扰引起噪声的约束入侵者集合选择方法
    • US07685549B2
    • 2010-03-23
    • US11855323
    • 2007-09-14
    • Debjit SinhaSoroush AbbaspourAyesha AkhterGregory M. SchaefferDavid J. Widiger
    • Debjit SinhaSoroush AbbaspourAyesha AkhterGregory M. SchaefferDavid J. Widiger
    • G06F17/50
    • G06F17/5036
    • A preliminary static timing analysis run is performed to calculate the delay and slew as well as timing windows for each net in the design, followed by coupling analysis for each given aggressor-victim combination, and to calculate the noise effect on the timing of victim net. Given a set of functional groups that relate the coupled aggressors to each other, the worst set of aggressors are calculated that satisfy the constraints from the functional groups, based on the calculated impact of each aggressor on the victim. Similarly the set of aggressors which contribute to the maximum amount of inductive coupling noise effect on timing are calculated. Furthermore, the coupling noise impact of the reduced set of aggressors on the given victim line and adjust the delay value calculated in the preliminary static timing analysis run.
    • 执行初步静态时序分析运行,以计算设计中每个网络的延迟和摆动以及定时窗口,然后针对每个给定的攻击者 - 受害者组合进行耦合分析,并计算对受害者网络时间的噪声影响 。 给定一组使耦合的侵略者相互关联的功能组,根据每个侵略者对受害者的计算影响,计算出最差的攻击者集合,以满足功能组的限制。 类似地,计算有助于最大量的电感耦合噪声对定时影响的侵略者集合。 此外,减少的攻击者集合对给定的受害者线路的耦合噪声影响,并调整在初步静态时序分析运行中计算的延迟值。
    • 7. 发明授权
    • Prioritizing of nets for coupled noise analysis
    • 耦合噪声分析网优先级
    • US07181711B2
    • 2007-02-20
    • US10908101
    • 2005-04-27
    • Eric A. ForemanPeter A. HabitzGregory M. Schaeffer
    • Eric A. ForemanPeter A. HabitzGregory M. Schaeffer
    • G06F17/50
    • G06F17/5031
    • A system and method of performing microelectronic chip timing analysis, wherein the method comprises identifying failing timing paths in a chip; prioritizing the failing timing paths in the chip according to a size of random noise events occurring in each timing path; attributing a slack credit statistic for all but highest order random noise events occurring in each timing path; and calculating a worst case timing path scenario based on the prioritized failing timing paths and the slack credit statistic. Preferably, the random noise events comprise non-clock events. Moreover, the random noise events may comprise victim/aggressor net groups belonging to different regularity groups. Preferably, the size of random noise events comprises coupled noise delta delays due to the random noise events occurring in the chip.
    • 一种执行微电子芯片定时分析的系统和方法,其中所述方法包括识别芯片中的故障定时路径; 根据每个定时路径中发生的随机噪声事件的大小对芯片中的故障定时路径进行优先级排序; 归因于每个定时路径中发生的所有但最高阶随机噪声事件的松弛信用统计; 以及基于优先顺序的故障定时路径和松弛信用统计量来计算最坏情况的定时路径情景。 优选地,随机噪声事件包括非时钟事件。 此外,随机噪声事件可以包括属于不同规则组的受害者/侵略者网络组。 优选地,由于芯片中发生的随机噪声事件,随机噪声事件的大小包括耦合的噪声增量延迟。