会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 1. 发明申请
    • METHODS FOR DELIVERING A PROCESS GAS
    • 提供工艺气体的方法
    • US20110029268A1
    • 2011-02-03
    • US12887218
    • 2010-09-21
    • Iqbal A. ShareefJames V. TietzVernon WongRichard J. Meinecke
    • Iqbal A. ShareefJames V. TietzVernon WongRichard J. Meinecke
    • G06F19/00G01F25/00
    • G01F25/0053Y10T137/7722
    • A method for delivering a process gas to a reaction chamber of a plasma processing system using a recipe having a recipe flow rate is provided. The method includes delivering the process gas by a gas flow delivery system controlled by a mass flow controller (MFC) to an orifice. The predicted flow rate is previously computed by pressurizing a gas. The predicted flow rate further being previously computed measuring a set of upstream pressure values of the gas via at least one pressure sensor. The method also includes applying, using a programmed computing device, a calibration factor of a set of calibration factors to determine the predicted flow rate, the calibration factor being a ratio of an average of the set of upstream pressure values to an average of a set of golden upstream pressure values.
    • 提供了一种使用具有配方流量的食谱将处理气体输送到等离子体处理系统的反应室的方法。 该方法包括通过由质量流​​量控制器(MFC)控制的气体输送系统将工艺气体输送到孔口。 先前通过对气体进行加压来计算预测流量。 进一步预先计算的预测流量通过至少一个压力传感器测量气体的一组上游压力值。 该方法还包括使用编程的计算设备应用一组校准因子的校准因子来确定预测流量,校准因子是该组上游压力值的平均值与一组的平均值之比 的金上游压力值。
    • 2. 发明申请
    • METHODS FOR PERFORMING ACTUAL FLOW VERIFICATION
    • 执行实际流程验证的方法
    • US20080115560A1
    • 2008-05-22
    • US11938171
    • 2007-11-09
    • Iqbal A. ShareefJames V. TietzVernon WongRichard J. Meinecke
    • Iqbal A. ShareefJames V. TietzVernon WongRichard J. Meinecke
    • G01F25/00
    • G01F25/0053Y10T137/7722
    • A method for determining an actual gas flow rate in a reaction chamber of a plasma processing system is provided. The method includes delivering gas fay a gas flow delivery system controlled by a mass flow controller (MFC) to an orifice, which is located upstream from the reaction chamber. The method also includes pressurizing the gas to create a choked flow condition within the orifice. The method further includes measuring a set of upstream pressure values of the gas via a set of pressure sensors. The method yet also includes applying a calibration factor of a set of calibration factors to determine the actual flow rate. The calibration factor is a ratio of an average of the set of upstream pressure values to an average of a set of golden upstream pressure values, which is associated with an indicated flow rate for an MFC.
    • 提供了一种用于确定等离子体处理系统的反应室中的实际气体流量的方法。 该方法包括将由质量流量控制器(MFC)控制的气体输送系统输送到位于反应室上游的孔口。 该方法还包括对气体进行加压以在孔口内产生阻塞流动状态。 该方法还包括经由一组压力传感器测量气体的一组上游压力值。 该方法还包括应用一组校准因子的校准因子来确定实际流量。 校准因子是一组上游压力值的平均值与一组黄金上游压力值的平均值的比值,其与MFC的指示流速相关联。
    • 3. 发明授权
    • Apparatus for delivering a process gas
    • 用于输送处理气体的装置
    • US08521461B2
    • 2013-08-27
    • US13436705
    • 2012-03-30
    • Iqbal A. ShareefJames V. TietzVernon WongRichard J. Meinecke
    • Iqbal A. ShareefJames V. TietzVernon WongRichard J. Meinecke
    • G01F1/12
    • G01F25/0053Y10T137/7722
    • A processing system for delivering a process gas to a reaction chamber using a recipe having a recipe flow rate is provided. The processing system includes a gas flow delivery system configured for delivering the process gas, wherein said gas flow delivery system controlled by a mass flow controller (MFC) to an orifice. The predicted flow rate is previously computed by pressurizing a gas. The predicted flow rate further being previously computed measuring a set of upstream pressure values of the gas via at least one sensor. The processing system also includes a programmed computing device configured for applying a calibration factor of a set of calibration factors to determine the predicted flow rate, the calibration factor being a ratio of an average of the set of upstream pressure values to an average of a set of golden upstream pressure values.
    • 提供了一种使用具有配方流量的食谱将处理气体输送到反应室的处理系统。 处理系统包括配置用于输送处理气体的气体流量输送系统,其中由质量流量控制器(MFC)控制到气孔的所述气体流量输送系统。 先前通过对气体进行加压来计算预测流量。 预先计算的预测流量通过至少一个传感器测量气体的一组上游压力值。 处理系统还包括编程计算装置,其被配置为施加一组校准因子的校准因子以确定预测流量,所述校准因子是该组上游压力值的平均值与一组的平均值的比率 的金上游压力值。
    • 4. 发明授权
    • Methods for delivering a process gas
    • 交付工艺气体的方法
    • US08150646B2
    • 2012-04-03
    • US12887218
    • 2010-09-21
    • Iqbal A. ShareefJames V. TietzVernon WongRichard J. Meinecke
    • Iqbal A. ShareefJames V. TietzVernon WongRichard J. Meinecke
    • G06F19/00G01F1/12
    • G01F25/0053Y10T137/7722
    • A method for delivering a process gas to a reaction chamber of a plasma processing system using a recipe having a recipe flow rate is provided. The method includes delivering the process gas by a gas flow delivery system controlled by a mass flow controller (MFC) to an orifice. The predicted flow rate is previously computed by pressurizing a gas. The predicted flow rate further being previously computed measuring a set of upstream pressure values of the gas via at least one pressure sensor. The method also includes applying, using a programmed computing device, a calibration factor of a set of calibration factors to determine the predicted flow rate, the calibration factor being a ratio of an average of the set of upstream pressure values to an average of a set of golden upstream pressure values.
    • 提供了一种使用具有配方流量的食谱将处理气体输送到等离子体处理系统的反应室的方法。 该方法包括通过由质量流​​量控制器(MFC)控制的气体输送系统将工艺气体输送到孔口。 先前通过对气体进行加压来计算预测流量。 进一步预先计算的预测流量通过至少一个压力传感器测量气体的一组上游压力值。 该方法还包括使用编程的计算设备应用一组校准因子的校准因子来确定预测流量,校准因子是该组上游压力值的平均值与一组的平均值之比 的金上游压力值。
    • 6. 发明授权
    • Methods for performing actual flow verification
    • 执行实际流程验证的方法
    • US07822570B2
    • 2010-10-26
    • US11938171
    • 2007-11-09
    • Iqbal A. ShareefJames V. TietzVernon WongRichard J. Meinecke
    • Iqbal A. ShareefJames V. TietzVernon WongRichard J. Meinecke
    • G01F1/12
    • G01F25/0053Y10T137/7722
    • A method for determining an actual gas flow rate in a reaction chamber of a plasma processing system is provided. The method includes delivering gas by a gas flow delivery system controlled by a mass flow controller (MFC) to an orifice, which is located upstream from the reaction chamber. The method also includes pressurizing the gas to create a choked flow condition within the orifice. The method further includes measuring a set of upstream pressure values of the gas via a set of pressure sensors. The method yet also includes applying a calibration factor of a set of calibration factors to determine the actual flow rate. The calibration factor is a ratio of an average of the set of upstream pressure values to an average of a set of golden upstream pressure values, which is associated with an indicated flow rate for an MFC.
    • 提供了一种用于确定等离子体处理系统的反应室中的实际气体流量的方法。 该方法包括通过由质量流​​量控制器(MFC)控制的气体输送系统将气体输送到位于反应室上游的孔口。 该方法还包括对气体进行加压以在孔口内产生阻塞流动状态。 该方法还包括经由一组压力传感器测量气体的一组上游压力值。 该方法还包括应用一组校准因子的校准因子来确定实际流量。 校准因子是一组上游压力值的平均值与一组金黄上游压力值的平均值的比值,其与MFC的指示流速相关联。
    • 7. 发明授权
    • System and method for gas flow verification
    • 气流验证系统及方法
    • US07835874B2
    • 2010-11-16
    • US12104438
    • 2008-04-17
    • Vernon WongRichard J. Meinecke
    • Vernon WongRichard J. Meinecke
    • G01F17/00
    • G01F1/34G01F7/005G01F17/00G01F22/02G01F25/0038G01F25/0053
    • A gas flow rate verification apparatus is provided for shared use in a multiple tool semiconductor processing platform. The gas flow rate verification apparatus is defined to measure a pressure rate of rise and temperature within a test volume for determination of a corresponding gas flow rate. The apparatus includes first and second volumes, wherein the second volume is larger than the first volume. The apparatus also includes first and second pressure measurement devices, wherein the second pressure measurement device is capable of measuring higher pressures. Based on the target gas flow rate to be measured, either the first or second volume can be selected as the test volume, and either the first or second pressure measurement device can be selected to measure the pressure in the test volume. Configurability of the apparatus enables accurate measurement of gas flow rates over a broad range and in an time efficient manner.
    • 气体流量验证装置被提供用于在多个工具半导体处理平台中共享使用。 气体流量验证装置被定义为测量测试体积内的升高和温度的压力,以确定相应的气体流量。 该装置包括第一和第二体积,其中第二体积大于第一体积。 该装置还包括第一和第二压力测量装置,其中第二压力测量装置能够测量更高的压力。 基于要测量的目标气体流量,可以选择第一或第二体积作为测试体积,并且可以选择第一或第二压力测量装置来测量测试体积中的压力。 该装置的可配置性使得能够在宽范围内以及以时间有效的方式精确测量气体流量。
    • 8. 发明申请
    • System and Method for Gas Flow Verification
    • 气流验证系统与方法
    • US20080195332A1
    • 2008-08-14
    • US12104438
    • 2008-04-17
    • Vernon WongRichard J. Meinecke
    • Vernon WongRichard J. Meinecke
    • G01F1/34G01F1/86
    • G01F1/34G01F7/005G01F17/00G01F22/02G01F25/0038G01F25/0053
    • A gas flow rate verification apparatus is provided for shared use in a multiple tool semiconductor processing platform. The gas flow rate verification apparatus is defined to measure a pressure rate of rise and temperature within a test volume for determination of a corresponding gas flow rate. The apparatus includes first and second volumes, wherein the second volume is larger than the first volume. The apparatus also includes first and second pressure measurement devices, wherein the second pressure measurement device is capable of measuring higher pressures. Based on the target gas flow rate to be measured, either the first or second volume can be selected as the test volume, and either the first or second pressure measurement device can be selected to measure the pressure in the test volume. Configurability of the apparatus enables accurate measurement of gas flow rates over a broad range and in an time efficient manner.
    • 气体流量验证装置被提供用于在多个工具半导体处理平台中共享使用。 气体流量验证装置被定义为测量测试体积内的升高和温度的压力,以确定相应的气体流量。 该装置包括第一和第二体积,其中第二体积大于第一体积。 该装置还包括第一和第二压力测量装置,其中第二压力测量装置能够测量更高的压力。 基于要测量的目标气体流量,可以选择第一或第二体积作为测试体积,并且可以选择第一或第二压力测量装置来测量测试体积中的压力。 该装置的可配置性使得能够在宽范围内以及以时间有效的方式精确测量气体流量。
    • 9. 发明申请
    • Alternate gas delivery and evacuation system for plasma processing apparatuses
    • 用于等离子体处理装置的替代气体输送和抽空系统
    • US20090061640A1
    • 2009-03-05
    • US12230089
    • 2008-08-22
    • Harry P. WongVernon WongChristopher Charles GriffinMark Taskar
    • Harry P. WongVernon WongChristopher Charles GriffinMark Taskar
    • H01L21/3065C23F1/08
    • C23C16/45523C23C16/4412C23C16/45561C23C16/5096H01J37/3244H01J37/32449
    • A gas distribution system for supplying a gas mixture to a plasma process chamber is provided. A first valve arrangement is connected to upstream ends of a first gas line and a second gas line. A second valve arrangement is connected to downstream ends of the first gas line and the second gas line. A first gas distribution outlet line is connected between a gas supply and the first valve arrangement and a first chamber inlet line connected between the second valve arrangement and the plasma process chamber. A first evacuation line is connected to the first gas line at a location between the first valve arrangement and the second valve arrangement. A second evacuation line is connected to the second gas line at a location between the first valve arrangement and the second valve arrangement. The first evacuation line and second evacuation line are in fluid communication with a vacuum line. A controller is operable to actuate the first valve arrangement and second valve arrangement to selectively flow the gas mixture from the gas supply to the plasma process chamber through the first gas line while the second gas is selectively evacuated by the vacuum line; or to selectively flow the gas mixture from the gas supply to the plasma process chamber through the second gas line while the first gas line is selectively evacuated by the vacuum line.
    • 提供了一种用于将气体混合物供应到等离子体处理室的气体分配系统。 第一阀装置连接到第一气体管线和第二气体管线的上游端。 第二阀装置连接到第一气体管线和第二气体管线的下游端。 第一气体分配出口管线连接在气体供应源和第一阀装置之间,连接在第二阀装置和等离子体处理室之间的第一室入口管线。 在第一阀装置和第二阀装置之间的位置处,第一排气管线连接到第一气体管线。 在第一阀装置和第二阀装置之间的位置处,第二排气管线连接到第二气体管线。 第一排气管线和第二排气管线与真空管线流体连通。 控制器可操作以致动第一阀装置和第二阀装置,以选择性地将气体混合物从气体供应通过第一气体管道流动到等离子体处理室,同时第二气体被真空管线选择性地抽真空; 或者通过第二气体管线将气体混合物从气体供给选择性地流动到等离子体处理室,同时第一气体管线被真空管线选择性抽真空。
    • 10. 发明授权
    • System and method for gas flow verification
    • 气流验证系统及方法
    • US07376520B2
    • 2008-05-20
    • US11083761
    • 2005-03-16
    • Vernon WongRichard J. Meinccke
    • Vernon WongRichard J. Meinccke
    • G01F1/00G01F7/00
    • G01F1/34G01F7/005G01F17/00G01F22/02G01F25/0038G01F25/0053
    • A gas flow rate verification apparatus is provided for shared use in a multiple tool semiconductor processing platform. The gas flow rate verification apparatus is defined to measure a pressure rate of rise and temperature within a test volume for determination of a corresponding gas flow rate. The apparatus includes first and second volumes, wherein the second volume is larger than the first volume. The apparatus also includes first and second pressure measurement devices, wherein the second pressure measurement device is capable of measuring higher pressures. Based on the target gas flow rate to be measured, either the first or second volume can be selected as the test volume, and either the first or second pressure measurement device can be selected to measure the pressure in the test volume. Configurability of the apparatus enables accurate measurement of gas flow rates over a broad range and in an time efficient manner.
    • 气体流量验证装置被提供用于在多个工具半导体处理平台中共享使用。 气体流量验证装置被定义为测量测试体积内的升高和温度的压力,以确定相应的气体流量。 该装置包括第一和第二体积,其中第二体积大于第一体积。 该装置还包括第一和第二压力测量装置,其中第二压力测量装置能够测量更高的压力。 基于要测量的目标气体流量,可以选择第一或第二体积作为测试体积,并且可以选择第一或第二压力测量装置来测量测试体积中的压力。 该装置的可配置性使得能够在宽范围内以及以时间有效的方式精确测量气体流量。