会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 1. 发明授权
    • Techniques for reducing power requirements of an integrated circuit
    • 降低集成电路功耗要求的技术
    • US07605612B1
    • 2009-10-20
    • US12121827
    • 2008-05-16
    • Owen ChiangChristopher M. DurhamPeter J. KlimDaniel L. StasiakAlbert J. Van Norstrand, Jr.
    • Owen ChiangChristopher M. DurhamPeter J. KlimDaniel L. StasiakAlbert J. Van Norstrand, Jr.
    • H03K19/00
    • H03K19/0016G06F1/3203G06F1/3237G06F17/505G06F2217/78G06F2217/84Y02D10/128
    • A technique for clock gating a clock domain of an integrated circuit includes storing first, second, and third values in a control register. The first value corresponds to a first number of clock cycles to wait before initiating clock gating, the second value corresponds to a second number of clock cycles in which clock gating is performed, and the third value corresponds to a third number of clock cycles in which clock gating is not performed. One of the first, second, and third values is selectively loaded from the control register into a counting circuit. The counting circuit counts from the loaded one of the first, second, and third values to a transition value. A compare signal is received at the control state machine (from the counting circuit) that indicates the counting circuit has reached the transition value. Based on a current state of the control state machine, a load signal is provided to the counting circuit to cause the counting circuit to load an associated one of the first, second, and third values from the control register.
    • 时钟选通集成电路的时钟域的技术包括将第一,第二和第三值存储在控制寄存器中。 第一值对应于在启动时钟门控之前等待的第一数量的时钟周期,第二值对应于执行时钟门控的第二数量的时钟周期,并且第三值对应于第三数量的时钟周期,其中 不执行时钟门控。 第一,第二和第三值之一被选择性地从控制寄存器加载到计数电路中。 计数电路从加载的第一,第二和第三值之一计数到转换值。 在控制状态机(从计数电路)接收到比较信号,指示计数电路已经达到转换值。 基于控制状态机的当前状态,向计数电路提供负载信号,使得计数电路从控制寄存器加载相关的第一,第二和第三值中的一个。
    • 4. 发明申请
    • METHOD AND APPARATUS FOR A CONFIGURABLE LOW POWER HIGH FAN-IN MULTIPLEXER
    • 一种可配置低功率高风扇多路复用器的方法和装置
    • US20080303553A1
    • 2008-12-11
    • US11759426
    • 2007-06-07
    • OWEN CHIANGChristopher M. DurhamPeter J. KlimJames D. Warnock
    • OWEN CHIANGChristopher M. DurhamPeter J. KlimJames D. Warnock
    • H03K19/20
    • H03K19/0008H03K17/005
    • A configurable, low power high fan-in multiplexer (MUX) is disclosed. The MUX circuit includes multiple current control elements, which each include multiple inverters coupled to a transmission gate. Each current control element receives a data signal and a select signal that corresponds to the data signal. If a select signal exceeds a threshold value (e.g., a logical “1”), the select signal deactivates a pull-up transistor (e.g., a p-type field effect transistor), and the transmission gate enables the corresponding data signal to provide input to a logic gate (e.g., a NAND gate) coupled to the output of the MUX. If the select signal does not exceed the threshold value, the select signal activates the pull-up transistor, and the transmission gate prevents the corresponding data signal from providing input to the logic gate.
    • 公开了一种可配置的低功率高风扇多路复用器(MUX)。 MUX电路包括多个电流控制元件,每个电流控制元件包括耦合到传输门的多个反相器。 每个电流控制元件接收对应于数据信号的数据信号和选择信号。 如果选择信号超过阈值(例如,逻辑“1”),则选择信号去激活上拉晶体管(例如,p型场效应晶体管),并且传输门使得相应的数据信号能够提供 输入到与MUX的输出耦合的逻辑门(例如,NAND门)。 如果选择信号不超过阈值,则选择信号激活上拉晶体管,并且传输门禁止相应的数据信号向逻辑门提供输入。
    • 5. 发明授权
    • Structure for a configurable low power high fan-in multiplexer
    • 可配置低功耗高风扇多路复用器的结构
    • US07693701B2
    • 2010-04-06
    • US12132501
    • 2008-06-03
    • Owen ChiangChristopher M. DurhamPeter J. KlimJames D. Warnock
    • Owen ChiangChristopher M. DurhamPeter J. KlimJames D. Warnock
    • G06F17/50H03K19/094
    • H03K17/005H03K19/0008
    • A configurable, low power high fan-in multiplexer (MUX) and design structure thereof are disclosed. The MUX circuit includes multiple current control elements, which each include multiple inverters coupled to a transmission gate. Each current control element receives a data signal and a select signal that corresponds to the data signal. If a select signal exceeds a threshold value (e.g., a logical “1”), the select signal deactivates a pull-up transistor (e.g., a p-type field effect transistor), and the transmission gate enables the corresponding data signal to provide input to a logic gate (e.g., a NAND gate) coupled to the output of the MUX. If the select signal does not exceed the threshold value, the select signal activates the pull-up transistor, and the transmission gate prevents the corresponding data signal from providing input to the logic gate.
    • 公开了一种可配置的低功率高扇入多路复用器(MUX)及其设计结构。 MUX电路包括多个电流控制元件,每个电流控制元件包括耦合到传输门的多个反相器。 每个电流控制元件接收对应于数据信号的数据信号和选择信号。 如果选择信号超过阈值(例如,逻辑“1”),则选择信号去激活上拉晶体管(例如,p型场效应晶体管),并且传输门使相应的数据信号能够提供 输入到与MUX的输出耦合的逻辑门(例如,NAND门)。 如果选择信号不超过阈值,则选择信号激活上拉晶体管,并且传输门禁止相应的数据信号向逻辑门提供输入。
    • 6. 发明授权
    • Method and apparatus for a configurable low power high fan-in multiplexer
    • 用于可配置低功率高风扇多路复用器的方法和装置
    • US07466164B1
    • 2008-12-16
    • US11759426
    • 2007-06-07
    • Owen ChiangChristopher M. DurhamPeter J. KlimJames D. Warnock
    • Owen ChiangChristopher M. DurhamPeter J. KlimJames D. Warnock
    • H03K19/94
    • H03K19/0008H03K17/005
    • A configurable, low power high fan-in multiplexer (MUX) is disclosed. The MUX circuit includes multiple current control elements, which each include multiple inverters coupled to a transmission gate. Each current control element receives a data signal and a select signal that corresponds to the data signal. If a select signal exceeds a threshold value (e.g., a logical “1”), the select signal deactivates a pull-up transistor (e.g., a p-type field effect transistor), and the transmission gate enables the corresponding data signal to provide input to a logic gate (e.g., a NAND gate) coupled to the output of the MUX. If the select signal does not exceed the threshold value, the select signal activates the pull-up transistor, and the transmission gate prevents the corresponding data signal from providing input to the logic gate.
    • 公开了一种可配置的低功率高风扇多路复用器(MUX)。 MUX电路包括多个电流控制元件,每个电流控制元件包括耦合到传输门的多个反相器。 每个电流控制元件接收对应于数据信号的数据信号和选择信号。 如果选择信号超过阈值(例如,逻辑“1”),则选择信号去激活上拉晶体管(例如,p型场效应晶体管),并且传输门使相应的数据信号能够提供 输入到与MUX的输出耦合的逻辑门(例如,NAND门)。 如果选择信号不超过阈值,则选择信号激活上拉晶体管,并且传输门禁止相应的数据信号向逻辑门提供输入。
    • 7. 发明申请
    • STRUCTURE FOR A CONFIGURABLE LOW POWER HIGH FAN-IN MULTIPLEXER
    • 可配置低功率高风扇多路复用器的结构
    • US20080303554A1
    • 2008-12-11
    • US12132501
    • 2008-06-03
    • Owen CHIANGChristopher M. DurhamPeter J. KlimJames D. Warnock
    • Owen CHIANGChristopher M. DurhamPeter J. KlimJames D. Warnock
    • H03K19/20
    • H03K17/005H03K19/0008
    • A configurable, low power high fan-in multiplexer (MUX) and design structure thereof are disclosed. The MUX circuit includes multiple current control elements, which each include multiple inverters coupled to a transmission gate. Each current control element receives a data signal and a select signal that corresponds to the data signal. If a select signal exceeds a threshold value (e.g., a logical “1”), the select signal deactivates a pull-up transistor (e.g., a p-type field effect transistor), and the transmission gate enables the corresponding data signal to provide input to a logic gate (e.g., a NAND gate) coupled to the output of the MUX. If the select signal does not exceed the threshold value, the select signal activates the pull-up transistor, and the transmission gate prevents the corresponding data signal from providing input to the logic gate.
    • 公开了一种可配置的低功率高扇入多路复用器(MUX)及其设计结构。 MUX电路包括多个电流控制元件,每个电流控制元件包括耦合到传输门的多个反相器。 每个电流控制元件接收对应于数据信号的数据信号和选择信号。 如果选择信号超过阈值(例如,逻辑“1”),则选择信号去激活上拉晶体管(例如,p型场效应晶体管),并且传输门使相应的数据信号能够提供 输入到与MUX的输出耦合的逻辑门(例如,NAND门)。 如果选择信号不超过阈值,则选择信号激活上拉晶体管,并且传输门禁止相应的数据信号向逻辑门提供输入。