会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 11. 发明授权
    • Electromigration-aware layout generation
    • 电迁移感知布局生成
    • US09501602B2
    • 2016-11-22
    • US14255325
    • 2014-04-17
    • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    • Nitesh KattaJerry Chang-Jui KaoChin-Shen LinYi-Chuin TsaiChou-Kun LinKuo-Nan YangChung-Hsing Wang
    • G06F17/50
    • G06F17/5072G06F17/5036G06F2217/78G06F2217/82G06F2217/84
    • In some embodiments, in a method, placement of a design layout is performed. The design layout includes a power rail segment, several upper-level power lines and several cells. The upper-level power lines cross over and bound the power rail segment at where the upper-level power lines intersect with the power rail segment. The cells are powered through the power rail segment. For each cell, a respective current through the power rail segment during a respective SW of the cell is obtained. One or more groups of cells with overlapped SWs are determined. One or more EM usages of the power rail segment by the one or more groups of cells using the respective currents of each group of cells are obtained. The design layout is adjusted when any of the one or more EM usages of the power rail segment causes an EM susceptibility of the power rail segment.
    • 在一些实施例中,在一种方法中,执行设计布局的放置。 设计布局包括电力轨道段,几个上级电力线和几个电池。 上层电力线在电力轨道段上交叉并限制在上层电力线与电力轨道段相交的位置。 电池通过电源轨段供电。 对于每个电池,获得在电池的相应SW期间通过电力轨道段的相应电流。 确定具有重叠SW的一组或多组细胞。 获得使用每组单元的各自电流的一组或多组单元的电力轨道段的一个或多个EM用途。 当电力轨道段的一个或多个EM使用中的任何一个导致电力轨道段的EM敏感性时,调整设计布局。
    • 12. 发明授权
    • Method and apparatus for capacitance extraction
    • 电容提取方法和装置
    • US09471738B2
    • 2016-10-18
    • US14615084
    • 2015-02-05
    • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    • Chih-Cheng ChouTsung-Han WuKe-ying SuHsien-Hsin Sean LeeChung-Hsing Wang
    • G06F9/455G06F17/50
    • G06F17/5081
    • A method comprises processing a layout of an integrated circuit to determine one or more attributes of one or more components of the integrated circuit. The method also comprises extracting one or more process parameters from a process file associated with manufacturing the integrated circuit. The one or more process parameters are extracted from the process file based on a computation of one or more logic functions included in the process file. The computation is based on the one or more attributes. The method further comprises calculating a capacitance value between at least two components of the integrated circuit based on the one or more process parameters and a capacitance determination rule included in the process file. At least one of the one or more process parameters, the one or more logic functions, or the capacitance determination rule is editable based on a user input.
    • 一种方法包括处理集成电路的布局以确定集成电路的一个或多个组件的一个或多个属性。 该方法还包括从与制造集成电路相关联的处理文件中提取一个或多个处理参数。 基于包括在处理文件中的一个或多个逻辑功能的计算,从处理文件中提取一个或多个处理参数。 计算基于一个或多个属性。 该方法还包括基于一个或多个处理参数和包括在处理文件中的电容确定规则来计算集成电路的至少两个分量之间的电容值。 一个或多个处理参数,一个或多个逻辑功能或电容确定规则中的至少一个可以基于用户输入进行编辑。
    • 16. 发明授权
    • Semiconductor device design method
    • 半导体器件设计方法
    • US09223922B2
    • 2015-12-29
    • US14658749
    • 2015-03-16
    • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    • Ping-Hung YuhCheng-I HuangChung-Hsing Wang
    • G06F9/45G06F17/50
    • G06F17/5045G06F17/5081
    • A method of generating a netlist comprises extracting a first capacitance value between the first set of electrical components inside a defined region using a first extraction technique. The method additionally comprises extracting a second capacitance value between a second set of electrical components comprising at least one electrical component outside the defined region using a second extraction technique different from the first extraction technique. The method also comprises generating the netlist including the first capacitance value and the second capacitance value. The first extraction technique is capable of extracting capacitance values between electrical components arranged in a first quantity of directions with respect to one another and the second extraction technique is capable of extracting capacitance values between electrical components arranged in a second quantity of directions with respect to one another. The first quantity of directions is greater than the second quantity of directions.
    • 生成网表的方法包括使用第一提取技术在限定区域内的第一组电组件之间提取第一电容值。 该方法还包括使用不同于第一提取技术的第二提取技术,在包括限定区域外的至少一个电气部件的第二组电气部件之间提取第二电容值。 该方法还包括生成包括第一电容值和第二电容值的网表。 第一提取技术能够提取在相对于彼此的第一数量方向布置的电气部件之间的电容值,并且第二提取技术能够提取相对于一个方向布置在第二数量方向上的电气部件之间的电容值 另一个。 第一数量的方向大于第二数量的方向。