会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
热词
    • 3. 发明授权
    • Built in self test transport controller architecture
    • 内置自检传输控制器架构
    • US07546505B2
    • 2009-06-09
    • US11557513
    • 2006-11-08
    • Sergey GribokAlexander AndreevIvan Pavisic
    • Sergey GribokAlexander AndreevIvan Pavisic
    • G01R31/28G11C29/00
    • G11C29/16G11C29/34G11C2029/0401G11C2029/1204G11C2029/2602
    • A built in self test circuit in a memory matrix. Memory cells within the matrix are disposed into columns. The circuit has only one memory test controller, adapted to initiate commands and receive results. Transport controllers are paired with the columns of memory cells. The controllers receive commands from the memory test controller, test memory cells within the column, receive test results, and provide the results to the memory test controller. The transport controllers operate in three modes. A production testing mode tests the memory cells in different columns, accumulating the results for a given column with the controller associated with the column. A production testing mode retrieves the results from the controllers. A diagnostic testing mode tests memory cells within one column, while retrieving results for the column.
    • 内存自检电路在内存矩阵中。 矩阵内的存储单元被排列成列。 该电路只有一个内存测试控制器,适用于启动命令并接收结果。 传输控制器与存储单元的列配对。 控制器从存储器测试控制器接收命令,测试列内的测试存储单元,接收测试结果,并将结果提供给存储器测试控制器。 运输控制器以三种模式运行。 生产测试模式测试不同列中的存储单元,使用与列相关联的控制器累积给定列的结果。 生产测试模式从控制器检索结果。 诊断测试模式测试一列内的存储单元,同时检索列的结果。
    • 4. 发明授权
    • Method of buffer insertion to achieve pin specific delays
    • 缓冲区插入方式来实现引脚特定的延迟
    • US07243324B2
    • 2007-07-10
    • US11041489
    • 2005-01-24
    • Aiguo LuIvan PavisicNikola Radovanovic
    • Aiguo LuIvan PavisicNikola Radovanovic
    • G06F17/50G06F9/45
    • G06F17/505G06F2217/62
    • A method of buffer insertion for a tree network in an integrated circuit design includes steps of: (a) receiving as input an integrated circuit design including a tree network; (b) selecting a buffer type available to the integrated circuit design from a cell library that results in a minimum total delay for a predetermined wire length; (c) identifying each candidate leaf node in the tree network that has a required pin-specific target delay; (d) inserting a buffer between each internal node that is traversed by a path from a candidate leaf node to a root node of the tree network and each leaf node that is not a candidate leaf node; (e) creating a buffer sub-tree in the tree network from an upstream internal node for each internal node that is traversed by a path from a candidate leaf node to a root node of the tree network; re-parenting each internal node that is traversed by a path from a candidate leaf node to a root node of the tree network to a new buffer in the buffer sub-tree; and (g) generating as output a revised integrated circuit design that includes the buffer sub-tree.
    • 一种用于集成电路设计中的树形网络的缓冲器插入方法包括以下步骤:(a)接收作为输入的包括树形网络的集成电路设计; (b)从单元库选择可用于集成电路设计的缓冲器类型,其导致预定导线长度的最小总延迟; (c)识别树网络中具有所需针特定目标延迟的候选叶节点; (d)在由候选叶节点到树网络的根节点的路径穿过的每个内部节点之间插入缓冲器,并且不是候选叶节点的每个叶节点; (e)从由候选叶节点到树网络的根节点的路径遍历的每个内部节点的上游内部节点在树形网络中创建缓冲器子树; 将通过从候选叶节点到树树网络的根节点的路径遍历的每个内部节点重新编入缓冲器子树中的新缓冲器; 和(g)产生包括缓冲器子树的经修订的集成电路设计的输出。
    • 5. 发明授权
    • Timing-driven placement method utilizing novel interconnect delay model
    • 利用新型互连延迟模型的定时驱动放置方法
    • US06901571B1
    • 2005-05-31
    • US09010396
    • 1998-01-21
    • Dusan PetranovicRanko ScepanovicIvan Pavisic
    • Dusan PetranovicRanko ScepanovicIvan Pavisic
    • G06F17/50
    • G06F17/5072
    • A method for optimal placement of cells on a surface of an integrated circuit, comprising the steps of comparing a placement of cells to predetermined cost criteria and moving cells to alternate locations on the surface if necessary to satisfy the cost criteria. The cost criteria include a timing criterion based upon interconnect delay, where interconnect delay is modeled as a RC tree expressed as a function of pin-to-pin distance. The method accounts for driver to sink interconnect delay at the placement level, a novel aspect resulting from use of the RC tree model, which maximally utilizes available net information to produce an optimal timing estimate. Preferred versions utilize a RC tree interconnect delay model that is consistent with timing models used at design levels above placement, such as synthesis, and below placement, such as routing. Additionally, preferred versions can utilize either a constructive placement or iterative improvement placement method.
    • 一种用于在集成电路的表面上最佳地放置单元的方法,包括以下步骤:如果需要满足成本标准,将单元的布局与预定成本标准进行比较并将单元移动到表面上的替代位置。 成本标准包括基于互连延迟的定时标准,其中互连延迟被建模为作为针对针距离的函数的RC树。 该方法考虑了驱动程序以在布局级别中接收互连延迟,这是由使用RC树模型产生的新颖的方面,其最大限度地利用可用的网络信息来产生最佳的时序估计。 首选版本使用RC树互连延迟模型,其与在布局之上的设计级别(例如合成)以及在布局之下(例如路由)使用的定时模型一致。 另外,优选版本可以利用建设性位置或迭代改进放置方法。
    • 9. 发明授权
    • Placement-based integrated circuit re-synthesis tool using estimated maximum interconnect capacitances
    • 基于放置的集成电路重合成工具,使用估计的最大互连电容
    • US06546541B1
    • 2003-04-08
    • US09789108
    • 2001-02-20
    • Dusan PetranovicIvan PavisicAiguo Lu
    • Dusan PetranovicIvan PavisicAiguo Lu
    • G06F1750
    • G06F17/5022G06F17/505
    • A method and apparatus are provided for generating constraints for an integrated circuit logic re-synthesis algorithm. The method and apparatus receive a netlist of interconnected logic elements, which includes a plurality of nets, wherein each of the nets is coupled between a respective net driver logic element and at least one driven logic element. The method and apparatus also receive a maximum allowable input ramp time specification for the logic elements and an output ramp time specification for the net driver logic elements. A maximum interconnect capacitance constraint is then generated for each of the net driver logic elements based on the output ramp time specification for that net driver logic element and the maximum allowable input ramp time specification.
    • 提供了一种用于为集成电路逻辑重新合成算法产生约束的方法和装置。 所述方法和装置接收互连的逻辑元件的网表,其包括多个网络,其中每个网络耦合在相应的网络驱动器逻辑元件和至少一个驱动逻辑元件之间。 该方法和装置还接收用于逻辑元件的最大允许输入斜坡时间规范和用于网络驱动器逻辑元件的输出斜坡时间规范。 然后,基于该网络驱动器逻辑元件的输出斜坡时间规范和最大允许输入斜坡时间规范,为每个网络驱动器逻辑元件生成最大互连电容约束。
    • 10. 发明授权
    • Physical design automation system and process for designing integrated
circuit chip using
    • 物理设计自动化系统和使用“棋盘”和“抖动”优化设计集成电路芯片的过程
    • US6038385A
    • 2000-03-14
    • US609397
    • 1996-03-01
    • Ranko ScepanovicJames S. KofordAlexander E. AndreevIvan Pavisic
    • Ranko ScepanovicJames S. KofordAlexander E. AndreevIvan Pavisic
    • G06F17/50
    • G06F17/5072
    • A cell placement for an integrated circuit chip is divided into two "chessboard" patterns or "jiggles". Each pattern resembles a chessboard in that it consists of alternating regions of different types or "colors" such that no region of a given color has an edge common with another region of the same color. The jiggles are offset relative to each other such that the regions of one jiggle partially overlap at least two regions of the other jiggle. A placement improvement operation such as simulated annealing is performed sequentially for each color of each jiggle. During each operation, a plurality of parallel processors operate on the regions simultaneously using a previous copy of the entire chip, with one processor being assigned to one or more regions. At the end of each operation, the copy of the chip is updated. The chessboard patterns eliminate unproductive cell moves resulting from adjacent regions having a common edge. The jiggles enable cells to move to their optimal positions from their initial region to any other region on the chip. The regions can have rectangular, triangular or hexagonal shapes.
    • 集成电路芯片的单元布局分为两个“棋盘”图案或“跳棋”。 每个图案类似于棋盘,其由不同类型或“颜色”的交替区域组成,使得给定颜色的区域不具有与相同颜色的另一区域相同的边缘。 跳块相对于彼此偏移,使得一个颤动的区域部分地与另一个摇摆的至少两个区域重叠。 针对每个抖动的每个颜色顺序地执行诸如模拟退火的放置改善操作。 在每个操作期间,多个并行处理器使用整个芯片的先前副本同时在该区域上操作,一个处理器被分配给一个或多个区域。 在每个操作结束时,更新芯片的副本。 棋盘图案消除由具有共同边缘的相邻区域产生的非生产性细胞移动。 这些跳跃使得电池从它们的初始区域移动到其最佳位置到芯片上的任何其它区域。 这些区域可以具有矩形,三角形或六边形形状。