会员体验
专利管家(专利管理)
工作空间(专利管理)
风险监控(情报监控)
数据分析(专利分析)
侵权分析(诉讼无效)
联系我们
交流群
官方交流:
QQ群: 891211   
微信请扫码    >>>
现在联系顾问~
首页 / 专利库 / 信号处理 / 信号 / 输出信号 / 将模拟输入信号转换为数字输出信号的模数转换器电路

将模拟输入信号转换为数字输出信号的模数转换器电路

阅读:1031发布:2020-12-23

IPRDB可以提供将模拟输入信号转换为数字输出信号的模数转换器电路专利检索,专利查询,专利分析的服务。并且提供了用于将模拟输入信号转换为数字输出信号的系统和方法的例子。系统可以包括电流模式(CM)数模转换器(DAC)电路以便提供DAC电流。比较器电路可配置为响应于根据DAC电流和模拟输入信号确定的误差信号来产生比较器信号。逐次逼近寄存器电路可配置为响应于比较器信号产生DAC代码信号或数字输出信号中的至少一个信号。DAC代码信号可以由CM DAC电路使用以便控制DAC电流。,下面是将模拟输入信号转换为数字输出信号的模数转换器电路专利的具体信息内容。

1.一种用于将模拟输入信号转换为数字输出信号的模数转换器(ADC)电路,包括:电流模式(CM)数模转换器(DAC)电路,用于提供数模转换器(DAC)电流;

取样保持(SH)电路,配置为从所述模拟输入信号生成取样输入信号;

跨导电路,配置为根据所述取样输入信号生成输入电流;

比较器电路,配置为响应于根据数模转换器(DAC)电流和输入电流确定的误差信号产生比较器信号;以及逐次逼近寄存器(SAR)电路,配置为接收所述比较器信号并生成数模转换器(DAC)代码信号或数字输出信号中的至少一个,其中,所述电流模式(CM)数模转换器(DAC)电路配置为使用数模转换器(DAC)代码信号来控制数模转换器(DAC)电流。

2.根据权利要求1所述的模数转换器(ADC)电路,其中,所述电流模式(CM)数模转换器(DAC)电路包括配置为将数模转换器(DAC)代码信号解码为控制信号的解码器电路。

3.根据权利要求2所述的模数转换器(ADC)电路,其中,所述电流模式(CM)数模转换器(DAC)电路配置为使用控制信号来控制数模转换器(DAC)电流。

4.根据权利要求2所述的模数转换器(ADC)电路,其中,所述电流模式(CM)数模转换器(DAC)电路包括多个电流源,并且其中,所述多个电流源中的至少一个电流源配置为根据控制信号提供数模转换器(DAC)电流。

5.根据权利要求1所述的模数转换器(ADC)电路,其中,所述电流模式(CM)数模转换器(DAC)信号配置为通过第一级联电路提供数模转换器(DAC)电流,并且其中,数模转换器(DAC)电流为差分电流。

6.根据权利要求1所述的模数转换器(ADC)电路,还包括误差电路,配置为提供基于数模转换器(DAC)电流和输入电流之间差值而确定的电压误差信号,并且其中,误差电路包括开关,以减少电压误差信号中的干扰。

7.根据权利要求1所述的模数转换器(ADC)电路,其中,所述跨导电路配置为通过第二级联电路提供差分输入电流。

8.根据权利要求1所述的模数转换器(ADC)电路,其中,所述逐次逼近寄存器(SAR)电路配置为在多个逐次逼近寄存器(SAR)周期的最后一个周期生成数字输出信号。

9.根据权利要求1所述的模数转换器(ADC)电路,其中,所述逐次逼近寄存器(SAR)电路配置为在多个逐次逼近寄存器(SAR)周期的第一个周期生成包含中间代码的数模转换器(DAC)代码信号。

10.一种用于将模拟输入信号转换为数字输出信号的模数转换器(ADC)电路,包括:电流模式(CM)数模转换器(DAC)电路,配置为通过第一级联电路提供数模转换器(DAC)电流;

比较器电路,配置为响应于根据数模转换器(DAC)电流和模拟输入信号确定的误差信号产生比较器信号;以及逐次逼近寄存器(SAR)电路,配置为响应于比较器信号生成数模转换器(DAC)代码信号或数字输出信号中的至少一个,其中,所述电流模式(CM)数模转换器(DAC)电路配置为使用数模转换器(DAC)代码信号来控制数模转换器(DAC)电流,并且,所述数模转换器(DAC)电流为差分电流。

11.一种用于将模拟输入信号转换为数字输出信号的方法,所述方法包括:通过以下步骤在电流转换模式中操作逐次逼近模数转换器(ADC)电路:根据模拟输入信号产生输入电流;

操作电流模式(CM)数模转换器(DAC)电路来通过第一级联电路提供数模转换器(DAC)电流;

响应于根据数模转换器(DAC)电流和输入电流确定的误差信号生成比较器信号;以及接收比较器信号并生成数模转换器(DAC)代码信号或数字输出信号中的至少一个,其中,操作电流模式(CM)数模转换器(DAC)电路的步骤包括通过使用数模转换器(DAC)代码信号来控制数模转换器(DAC)电流,并且,所述数模转换器(DAC)电流为差分电流。

12.根据权利要求11所述的方法,其中,操作电流模式(CM)数模转换器(DAC)电路的步骤包括将数模转换器(DAC)代码信号解码为控制信号。

13.根据权利要求12所述的方法,其中,操作电流模式(CM)数模转换器(DAC)电路的步骤包括使用控制信号来控制数模转换器(DAC)电流。

14.根据权利要求12所述的方法,其中,操作电流模式(CM)数模转换器(DAC)电路的步骤包括操作多个电流源,并且还包括根据控制信号操作多个电流源中的至少一个电流源来提供数模转换器(DAC)电流。

15.根据权利要求11所述的方法,还包括提供根据数模转换器(DAC)电流和输入电流之间的差值确定的电压误差信号,并且其中,提供电压误差信号的步骤包括操作开关来减少电压误差信号中的干扰。

16.根据权利要求11所述的方法,其中,生成输入电流的步骤包括从取样输入信号产生输入电流。

17.一种用于将模拟输入信号转换为数字输出信号的装置,所述装置包括:用于提供数模转换器(DAC)电流的装置;

用于响应于根据数模转换器(DAC)电流和模拟输入信号确定的误差信号产生比较器信号的装置;以及用于响应于所述比较器信号产生数模转换器(DAC)代码信号或数字输出信号中的至少一个信号的装置,其中,用于提供数模转换器(DAC)电流的装置包括第一级联电路,并且所述用于提供数模转换器(DAC)电流的装置配置为使用数模转换器(DAC)代码信号来控制数模转换器(DAC)电流,并且所述数模转换器(DAC)电流为差分电流。

说明书全文

将模拟输入信号转换为数字输出信号的模数转换器电路

技术领域

[0001] 本发明一般地涉及模拟-数字转换(ADC),更具体地,涉及逐次逼近ADC。

背景技术

[0002] 模数转换(ADC)通常用于对模拟信号进行取样,从而可以对其进行数字表达。在例如数字通信接收器的各种应用中,对模拟信号进行数字表达的需求越来越多。
[0003] 在现有技术中已经知道有多种执行ADC的技术。两种常用技术逐次逼次(SA)ADC和闪存ADC电路。SA ADC电路通常通过对模拟输入信号进行多个连续步骤的处理产生数字表达,在每个步骤中执行比较操作,从而获得模拟输入信号的逐渐准确的数字表达。在一个典型的闪存ADC电路中,同时使用多个比较器,将模拟输入信号值与不同的参考值进行比较。其他方面均相同,与SA ADC电路中在多个步骤中进行不同是,在闪存ADC电路中,在单个步骤中同时将信号与不同的参考值进行比较,所以与SA ADC电路相比,闪存ADC电路所产生的模拟信号的数字表达典型地可以具有更短的等待时间。因此,闪存ADC技术一般被认为更加适合高速应用。

发明内容

[0004] 本说明书提供几个将模拟输入信号转换为数字输出信号的例子。系统可以包括电流模式(CM)的数模转换器(DAC)电路以提供DAC电流。比较器电路可以配置为响应于基于DAC电流和模拟输入信号确定的误差信号来产生比较器信号。逐次逼近寄存器电路可以配置为响应于比较器信号产生DAC代码信号或数字输出信号中的至少一个。DAC代码信号由CM DAC电路用来控制DAC电流。
[0005] 应当理解,在阅读了下面的详细描述之后,本发明主题技术的其他配置对于本领域技术人员而言将变得明显,其中,本发明主题技术的各种配置将通过示意的方式进行显示和描述。正如将要实现的,主题技术可适用其它不同的配置并且可以对其各个方面进行各种修改,这并不脱离本发明的范围。因此,附图和详细说明应当被视为是示意性的而非限制性的。

附图说明

[0006] 图1为逐次逼近(SA)模数转换器(ADC)电路的方框示意图;
[0007] 图2为根据本明特定配置的电流模式(CM)SA ADC电路的方框示意图;
[0008] 图3A为根据本发明特定配置的图2所示的CM SA ADC电路的电流模式(CM)的高层示意图;
[0009] 图3B为根据本发明特定配置的图3A的CM DAC电路的示意图;
[0010] 图4是根据本发明特定配置的图2的CMSA ADC电路的示意图;
[0011] 图5是根据本发明特定配置的图2所示的CM SA ADC电路的另一个例子的示意图;
[0012] 图6是根据本发明特定配置的使用电流比较器的CM SA ADC的高层示意图;
[0013] 图7是根据本发明特定配置的图2所示CM SA ADC电路的逐次逼近寄存器(SAR)电路的例子的示意图;
[0014] 图8是根据本发明特定配置的图2所示CM SA ADC电路中使用的SAR电路的时间周期的时间示意图;
[0015] 图9A为根据本发明特定配置的取样保持电路(SH)的例子的示意图;
[0016] 图9B为根据本发明特定配置的SH电路的另一个例子的示意图;
[0017] 图9C为根据本发明特定配置的倍速SH电路的一个例子的示意图;
[0018] 图9D为根据本发明特定配置的倍速SH电路的另一个例子的示意图;
[0019] 图10为根据本发明特定配置的决定检测逻辑的例子的示意图;
[0020] 图11是根据本发明特定配置的图4所示的CMSA ADC电路和的时间周期和控制信号的时序示意图;
[0021] 图12是根据本发明特定配置的用于操作CMSA ADC电路的方法的流程示意图;
[0022] 图13是根据本发明特定配置的用于操作CMSA ADC电路的方法的另一个例子的流程图;
[0023] 图14和图15为用于执行SA模数转换的例子的方框示意图。

具体实施方式

[0024] 以下所作的详细描述意在对本专利主题技术的各种配置做出说明,其目的并非用于限定本发明的主题技术。附图结合到本说明书中,并构成详细描述的一部分。详细说明包括具体细节,其目的是提供全面了解主题技术的特定细节。然而,本领域技术人员理解,在没有这些特定细节的情况下同样可以实施主题技术。在一些例子中,已知的电路元件和组件显示为框图形式,以免对主题技术的概念产生模糊理解。相同的元件使用相同的标号以便方便理解。
[0025] 一方面,本发明涉及一种超高速逐次逼近模拟数字转换。随着对高数据速率和星座密度(constellation densities)的需求不断增加,特别是在千兆赫兹(GHz)范围内进行传输的信号中,对快速和准确的ADC电路的需求越来越多。此外,快速萎缩的CMOS技术要求现代电子子系统移向更简单模拟设计加上密集的数字计算,这对集成系统芯片应用是相当有吸引力的。因此,逐次逼近电路可以有利地利用起来。具体来说,需要使用具有较小芯片体积和低消耗的高速SA ADC电路来实现。
[0026] 一方面,本发明描述一种技术,在SA ADC电路的馈送回路中使用电流模式(CM)DAC电路来动态地提高SA ADC电路的运行速度。一方面,许多使用电阻或电容DAC电路的SA ADC电路,可能无法适当运行在接近本发明的CM DAC电路的运行速度上。此外,由于推荐架构的简单性质,几种推荐的CMSA ADC电路可以平行运行以实现更高的转换速度。
[0027] 图1是逐次逼近(SA)模数转换器(DAC)电路的一个例子的示意图。SA ADC电路100可以包括取样保持放大器(SHA)110、误差电路120、比较器130、逐次逼近寄存器(SAR)140和DAC 150。SHA 110可配置为以取样速率fs对输入电压信号Vin进行取样,从而产生取样输入电压信号112,其中包含每秒多个取样。在误差电路120中,取样后的输入信号112可以和DAC信号152进行组合从而产生误差信号122,误差信号122由比较器130接收。如果误差信号122超过预先确定的阈值电压(例如,几个mV),则比较器130通过在其输出端产生比较器信号132进行响应。SAR 140包括状态机,其运行由时钟脉冲144控制,时钟脉冲144由速率为N*fs的时钟发生器(为简明起见,没有显示在图1中)产生,其中N为SAR 140在一个取样周期(即,T=1/fs)处理的最高比特数。SAR 140通过N个SA周期执行其操作。在每个SA周期里,数字输出信号142的N比特中的一个比特(例如,数字代码或输出代码)被解析。响应于比较器信号132,SAR 140,产生N比特的数字信号146,它是DAC150用来产生DAC信号152。
[0028] 最初(即在第一个周期),SAR可以产生代表中间代码的数字信号146。在N比特N-1 7ADC的情况下,中间代码可以例如包括代表2 的数字代码(例如,对于8比特ADC来说,2=1000,0000)。在连续周期中,根据比较器信号132修改之前的数字信号146生成当前的数字信号146,数字信号146被DAC150用来产生当前的DAC信号152,然后将DAC信号152与当前的取样输入电压信号112相比较,从而产生电流的误差信号122。例如,如果当前周期是第二个周期,并在第一个周期中取样输入电压信号112大于对应于中间代码1000,0000的DAC信号152,则下一个数字信号146被设定为1100,0000。也就是说,下一个最高有效位(MSB)被确定为1。否则,相同的位被设定为0,并且这个过程继续进行。在最后一个周期中,当所有N比特的数字输出信号142都被解析时,数字输出信号142作为输出代码已准备好被输出。
[0029] DAC150可以使用电阻或电容器实现。然而,在一个方面,高精度电阻可能无法在非常小的特征尺寸技术中实现。此外,在一个方面,电容DAC可能不适合超高速运算。本发明的一个方面可以解决上述所有问题
[0030] 图2是根据本发明特定配置的电流模式(CM)逐次逼近(SA)模数转换器(DAC)电路的例子的示意图。CMSA ADC电路200可以包括CM DAC电路(例如电流舵DAC)210、负载电阻220、取样保持电路(SH)240、跨导电路230、误差电路260、比较器250和SAR(例如,SAR引擎)270。图1中CMSA DAC电路200和SA ADC电路100之间的主要区别的一方面可能来源于图1中与它们对应的功能方框(例如,DAC150和误差电路120)相比较时CM DAC电路210和误差电路260的结构和操作。在另一个有利的方面,跨导电路230(例如,具有跨导率Gm)可用于将SH电路240产生的取样后的输入电压信号转换为电流信号Iin。CM DAC电路210配置为响应SAR 270产生的数字信号(例如N比特代码)274而产生DAC电流Idac。
在一个方面,DAC电流Idac可以是模拟属性,并可能在与误差电路260相结合时对输入电流Iin进行计算。要注意的是,负载电阻220(例如Rload)在本质上是误差电路260的一部分,独立显示是为了突出其用作电流至电压转换器的功能。
[0031] 在操作中,电流Iin和电流Idac之间的差异可以在通过负载电阻220之后在误差电路260上转换成电压信号。比较器250和SAR270的操作基本上类似于图4中所示的比较器130和图SAR140的操作。比较器250的操作通过时钟信号276(例如,具有N*fs的时钟速率,其中N为SAR270能够处理的最高比特数)和SAR270的操作同步。在某些配置中(例如,图6中的CMSA ADC电路),当比较器250包括电流比较器时,可以在不需要负载电阻220的情况下对电流Iin和电流Idac进行比较。CMSAADC电路200的一个优点在于处理电流源的速度,而CM DAC电路210的运行基于该速度,与之不同的是,许多常规SA ADC电路的电容DAC电路的运行则基于充电和放电电容器。
[0032] CMSA ADC电路200的另一个优点是,CM SA ADC电路200不需要基准电压,并且在参照角度来看它是可以自我实现的。其他的优点可以包括运算速度和易于晶体管匹配以实现差模DAC,这与利用电阻或电容实现差模DAC电路中的电阻或电容匹配不同,后者可能会比较困难,特别是在小的特征尺寸的情况下。
[0033] 图3A为根据本发明特定配置的图2所示CM DAC电路210的示意性实施例300a的高层示意图。CM DAC电路210包括多个(例如K个,当SA ADC电路200为N比特ADC时NK=2)电流源I1-IK,每个电流源通过开关S1-SK相互连接以便参与到形成DAC电流Idac中去。开关S1-SK依次由图2中SAR 270产生的数字信号274进行控制。CM DAC电路210中的解码器340将数字信号274转换为可以由CM DAC电路210用来控制开关S1-SK的控制信号342。在操作时,N位的ADC电路(例如,图2中CM SA的ADC电路200)在众所周知的二进制搜索树算法基础上进行操作。在实践中,N比特ADC电路(例如,图2所示CM SA ADC电路200)可以根据已知的二进制搜索树算法进行操作。在操作中,N比特ADC电路(例如N
图2所示的CM SA ADC电路200)可以由K=2 个电流源(例如,I1-IK)实现。开关的控制可以通过使用二进制码、温度计码或两者的组合码进行。例如,在温度计的实施例中,对于
4比特ADC电路,15个开关可以单独控制16个电流源中的15个电流源(例如,I1-I15)。第
16个电流源(例如,I0)可用于没有开关的静态电流源。但是,在二进制码的实施例中,可以对多组电流源同时进行控制。例如,电流组I1-I2(即接下来的2个电流源)、I3-I6(即接下来的4个电流源)和I7-I15(即接下来的8个电流源)可以分别通过三个开关分别控制。
因此,温度计实施例可以使用更多的开关,需要更多的芯片面积以对控制信号进行路由,同时引入更小的开关故障。
[0034] 电流源I1-IK和开关S1-SK可以由在单模式或差分模式中使用晶体管元件(例如MOS或BJT晶体管)的电路实现。图3B中显示了使用MOS晶体管的差分模式的实施例。
[0035] 图3B是根据本发明特定配置的图3A所示的CM DAC电路的实施例300b的示意图。在实际的实施例中,可以使用连接到差分开关的电流源的多个组合。在图3B中,为简单起见,只显示了2个这样的组合。电流源IS1和IS2可以选择性地经由一对分开关S11-S12and S21-S22流经负载电阻R1和R2。每个开关可以由晶体管实现,例如,圆圈310中所示的晶体管T1。每个电流源可以通过例如一个晶体管(例如,NMOS晶体管T4)或者两个晶体管(例如,NMOS晶体管T3和T4,其中T4用作已知的级联晶体管),如圆圈320所示。在一些配置中,开关S11-S12和S21-S22以及电流源IS1和IS2可以利用PMOS晶体管或n型或p型双极晶体管来实现。
[0036] 图4是根据本发明特定配置的CM SA ADC电路的实施例的示意图。CMSA ADC电路400可以包括部分或全部以下部件:CM DAC电路410、SAR 470、比较器450、时钟发生器480、误差电路460、级联电路432、开关S1和跨导电路430。比较器450和SAR470类似于图
2中的比较器250和SAR270。DAC 410利用NMOS晶体管实现,配置为引导电流离开误差电路460。误差电路460利用2个负载电阻(例如,Rload)和2个偏置电流源(例如,Idc/2)。
当CM DAC 410(例如,通过NMOS晶体管T5和T6)引导开的电流基本上等于跨导电路430产生的输入信号电流时,偏置电流源通过比较器450的输入端口(例如节点Xn和Xp)保持为共用模式电压。跨导电路430包括由PMOS晶体管T1和T2形成的一对差分晶体管,其响应于取样输入电压信号Vi-s产生差分输入信号电流(例如当取样输入电压信号Vi-s基本上等于0时,通过稳定状态的每个晶体管T1或T2的超过或小于Idc/2的信号电流)。在图
4中,电流ΔI表示通过节点Xp和Xn提供的整个DAC电流。
[0037] 级联电路432包括PMOS晶体管T3、T4、T5和T6。这些晶体管主要用作缓冲器来将跨导电路430、误差电路460和比较器电路450从CMDA电路410隔离,从而防止由CM DAC电路410承载这些电路,从而保持CM SA ADC 400的高速运转。在任何周期(例如,SAR470的逐次逼近周期),比较器450产生的信号452依赖于节点Xp and Xn之间的电压差,从而依赖于通过差动负载电阻Rload的电流差。响应于信号452,SAR电路470设定N比特数字信号474的值,N比特数字信号474的值由CM DAC电路410接收并由CM DAC410用于控制离开节点Xn和Xp的电流量(例如,通过级联NMOS晶体管T5和T6的电流量)。另外,根据正在执行的SAR周期,各个比特的输出代码472被设定。在最后的周期(例如,N比特ADC的第N个周期)中,在开始执行估算输入信号Vi-s的下一个取样的处理之前,当节点Xp和Xn的电压之间的差值基本上接近于零时,数字输出信号的最后比特被设定并且输出代码472被输出。
[0038] 开关S1(例如,限变器或归零开关)可用于将节点Xp和Xn之间的电压差重置为零。开关S1的操作由控制信号484控制,此信号由决定检测逻辑480(见图10)发出,它检测比较器450重建阶段其输出的变化(见图11),并产生信号484,这个信号对节点Xp和Xn的电压进行重置。当信号484返回到零时开关S1关闭。时钟信号482(例如,其速率为N*f,N为SAR470能够处理的最高比特数,fs为取样频率)控制比较器450和SAR电路270的运行。为简单起见,在图4中没有显示产生脉冲Nfs的时钟发生器。在操作中,当比较器450完成当前取样的比较时,开关S1可以在完成CM SA ADC 400当前周期的阶段1(见图
8)之后闭合。换句话说,开关S1可以在超高速运行时发挥重要作用。首先,在切换CM DAC电路410时,开关S1可以防止节点Xp和Xn失灵,这会影响比较器450运行。其次,为快速归位,一旦完成对比,则可以闭合开关S1,以防止节点Xp和Xn发生穿越,从而可以使两个节点可以快速归位。
[0039] 图5是根据本发明特定配置的CM SA ADC电路的另一个实施例的示意图。CM SA ADC电路500可以包括以下部分或全部:CM DAC电路510、SAR 570、比较器550、误差电路560、级联电路532、开关S1和跨导电路530。比较器550、SAR 570和误差电路560分别与图4的比较器460、SAR470和误差电路460相类似。DAC 510利用PMOS晶体管实现,并且配置为对跨导电路530(例如Gm单元)产生的电流进行计算。跨导电路530和级联电路532分别由NMOS晶体管T1和T2以及NMOS晶体管T 3、T 4、T5和T6实现。另外,CM SA ADC
500的运行与图4所示CM SA ADC 400的运行相类似。为简单起见,在图5中没有显示出产生脉冲Nfs的时钟发生器。开关S1的操作由决定检测逻辑480发出的控制信号484来控制(见图10),在比较器550的再生阶段,决定检测逻辑480检测比较器550的输出变化(见图11),并且生成信号484,信号484重置节点Xp和Xn的电压。当信号484返回到零,开关S1关闭。
[0040] 图6是根据本发明特定配置的使用电流比较器的CMSA ADC电路的高层示意图。CM SA ADC电路600包括电流源I2和I1、电流比较器630和SAR640。SAR640类似于图5中的SAR 570。电流比较器630配置为对电流信号而非电压信号进行比较。电流源I2是表示CM DAC电路(例如图5的CM DAC电路510)的可变电流源。电流源I1也是可变电流源,表示跨导电路(例如图5所示的跨导电路530)的电流。另外,CMSA ADC电路600的运行类似于CMSA ADC电路500的运行。
[0041] 图7为根据本发明特定配置的逐次逼近寄存器(SAR)电路的实施例的方框示意图。SAR700可以包括由例如D触发器(DFFs)和锁存电路720形成的寄存器电路710。锁存电路720包括N个锁存器(latch0-latchN-1),其能够在完成转换时保持住N比特的N比特数字输出信号(例如图4所示的数字输出信号472)。寄存器电路710的DFF单元FF0-FFN-1可以产生N比特的N比特数字信号(例如图4所示的数字信号474),其可由CM DAC电路410用于控制DAC电流。例如,如果N=8,则初始时(即在第一个周期),寄存器电路710的比特7暂时设定为1,而其它比特则设定为0(例如产生中间代码1000,0000)。然后比较结果在下一个周期确定是否将比特7保持为高。在下一个周期,无论比特7是否保持为高,根据以往的比较结果,寄存器电路710的比特6均暂时设定为1。最后,在第8个周期,寄存器电路710的比特0被确定,其包括估算取样输入电压信号的电流取样的SAR周期。在这一点上,响应于转换信号714的末端,N比特(716)存储在锁存电路720的锁存器中,并准备用于任何连接到SAR 700输出端口的电路。
[0042] 图8是根据本发明特定配置的用于图4所示CMSA DAC电路400中的SAR电路的时间周期的示意图。期间800表示SAR 470的N个周期中的一个周期,它的持续时间为TSAR=1/Nfs,其中fs是取样速率(例如图2所示的SH电路240)。每个周期(即每个循环)包括两个阶段:阶段1和阶段2。在电流周期的阶段1中,图4所示的比较器450可以完成来自取样输入电压信号Vi-s的电流和当前DAC电流Idac的比较。在阶段1的末尾,图4的时钟发生器480可以发送图4所示的控制信号484以关闭图4的开关S1,从而将比较器信号重置为零。在阶段2,SAR 470和CM DAC电路410可以工作,并且在阶段2的末尾,CM DAC410电路可以归位并用于SAR操作的下一个周期。
[0043] 图9A为根据本发明特定配置的取样保持电路(SH)的示意图。SH电路900a可能包括开关S1、取样电容器Cs和缓冲电路910。开关S1以取样速率fs(即fsample)(例如500兆赫)运行。当开关S1关闭时,输入信号可以对电容器C进行充电,电容器C可以在取样周期Ts的第一个部分(Ts=1/fs)期间保持电荷。电容器Cs上产生的电压然后可以作为取样输入电压信号在缓冲电路910上呈现。缓冲电路910可以包括具有高输入阻抗和统一增益的放大器,并且可以在电容器充电期间将跨导电路(例如图2中的跨导电路230)与电容器Cs相隔离。
[0044] 图9B为根据本发明特定配置的SH电路的另一个实施例的示意图。SH电路900b可以包括开关S1、放大电容器Cs以及缓冲电路910。SH电路900b的运行类似于SH电路900a,不同之处在于缓冲电路910置于开关S1之前。在这个配置中,缓冲电路910可用于在电容器的充电期间将输入电压源与电容器Cs相隔离,换句话说,缓冲电路910可以提供对电容器Cs进行充电所需要的电流,其中,输入电压源可能不能提供这样的电流。
[0045] 图9C为根据本发明特定配置的倍速SH电路的例子的示意图。倍速SH电路900c可以包括两个并行连接的与SH电路900a相类拟的SH电路,其可以在乒乓模式下工作,从而其工作频率为SH电路900a工作频率的双倍。换句话说,当开关S11闭合并且Cs1可以充电时,开关S12打开并且Cs2可以保持电荷,反之亦然。
[0046] 图9D为根据本发明特定配置的倍速SH电路的另一例子的示意图。倍速SH电路900d可以包括两个并行连接的类似SH电路900b的SH电路,其可以在乒乓模式下工作,从而其工作频率为SH电路900b工作频率的双倍。
[0047] 图10为根据本发明特定配置的决定检测逻辑1000的例子的示意图。决定检测逻辑1000是产生控制信号482的图4和图5所示的决定逻辑480的实施例,所述控制信号482随后控制图4和图5所示开关S1的操作。逻辑1010在比较器450的再生阶段检测图
4中的比较器450(或图5中的比较器550)的输出变化,并且生成输出脉冲。输出脉冲由脉冲生成器模块1020接收,其中通过逆变器链对输出脉冲的宽度(即持续时间)进行可编程地调节。
[0048] 图11是根据本发明特定配置的图4所示CMSA ADC电路的时间周期和控制信号的例子的示意图。时间周期1100类似于图8中的时间周期800。时间周期分别表示比较器重设阶段和再生阶段(例如,比较决定作出的阶段)以及SAR 470的阶段1和阶段2之间的关系。比较器450的重设和再生阶段分别在SAR 470的阶段1和阶段2之后立即开始。控制信号1120与图10的决定检测逻辑1000产生的控制信号482相同。控制信号482在比较器450从重设阶段过渡到再生阶段之后立即产生。
[0049] 本发明的进一步描述
[0050] 本发明各方面的各种范例描述如下。这些所提供的例子,并不限制本发明的技术。数据和参考数字的标识仅作为例子及说明用途,以下描述并不受其限制。
[0051] 广泛和一般地,在一个方面,本发明提供一种模数转换器(ADC)(例如图2所示的CM SA ADC电路200)电路,用于将模拟输入信号转换为数字输出信号。ADC电路可以包括用于提供DAC电流的电流模式(CM)数模转换器(DAC)电路(例如图2所示的CM DAC电路210)。跨导电路可以配置为从模拟输入信号产生输入电流(例如图2所示的跨导电路
230)。ADC电路还可以包括比较器电路,配置为响应于基于DAC电流和输入电流确定的误差信号产生比较器信号(例如,图2所示的比较器电路250)。逐次逼近寄存器(SAR)电路可配置为接收比较器信号并产生DAC代码信号和数字输出信号中的至少一个(例如图2所示的SAR电路270)。DAC代码信号(例如图2所示的DAC信号274)可以由CM DAC电路用于控制DAC电流。
[0052] 在本发明的一个方面,用于将模拟输入信号转换为数字输出信号的n比特模数转换器(ADC)电路(例如图2所示的CM SA ADC电路200)可以包括一个或多个以下组件:用于提供DAC电流的电流模式(CM)数模转换器(DAC)电路(例如图2所示的CM DAC电
路210);比较器电路,可配置为响应于基于DAC电流和模拟输入信号确定的误差信号产生比较器信号(例如,图2所示的比较器电路250);逐次逼近寄存器(SAR)电路,可配置为响应于比较器信号产生DAC代码信号和数字输出信号中的至少一个(例如图2所示的SAR电路和270),所述DAC代码信号(例如图2所示的DAC信号274)可由CM DAC电路用于控制DAC电流。
[0053] 在本发明的另一个方面,提供一种用于将模拟输出信号转换为数字输出信号的方法(例如图12中的1200)。所述方法可以包括:通过操作电流模式(CM)数模转换器(DAC)电路提供DAC电流(例如图12的1220)来在电流转换模式中操作逐次逼近模数转换器(ADC)电路(例如图12的1210),响应于根据DAC电流和模拟输入信号确定的误差信号,操作比较器电路以产生比较器信号(如图12的1230),响应于比较器信号,操作逐次逼近寄存器(SAR)电路来产生DAC代码信号或数字输出信号中的至少一个信号(例如图12的1240),其中,操作CM DAC电路的步骤包括通过使用DAC代码信号来控制DAC电流。
[0054] 在本发明的另一个方面,提供一种用于将模拟输入信号转换为数字输出信号的方法(例如图13中的1300)。所述方法可以包括:通过操作跨导电路从模拟输入信号产生输入电流(如图13的确良320)来在电流转换模式中操作逐次逼近模数转换器(ADC)电路(例如图13的1310),操作电流模式(CM)数模转换器(DAC)电路来提供DAC电流(例如图123的1330),响应于根据DAC电流和输入电流确定的误差信号操作比较器电路来产生比较器信号(例如图13的1340),操作逐次逼近寄存器(SAR)电路来接收比较器信号并且生成DAC代码信号或数字输出信号中的至少一个信号(例如图13的1350),其中,操作CM DAC电路的步骤包括通过使用DAC代码信号来控制DAC电流。
[0055] 使用编号条款的方式描述本发明
[0056] 为方便起见,下面以编号条款(1,2,3等)的方式描述本发明的各个例子和方面。这些描述仅作为示例,不构成对本发明的限制。数据和参考数字的标识仅作为例子及说明用途,以下编号条款并不受其限制。
[0057] 1.一种用于将模拟输入信号转换为数字输出信号的模数转换器(ADC)电路,包括:
[0058] 电流模式(CM)数模转换器(DAC)电路,用于提供DAC电流;
[0059] 跨导电路,配置为根据所述模拟输入信号生成输出电流;
[0060] 比较器电路,配置为响应于根据DAC电流和输入电流确定的误差信号产生比较器信号;以及
[0061] 逐次逼近寄存器(SAR)电路,配置为接收所述比较器信号并生成DAC代码信号或数字输出信号中的至少一个,
[0062] 其中,所述CM DAC电路配置为使用DAC代码信号来控制DAC电流。
[0063] 2.根据权利要求1所述的ADC电路,其中,所述CM DAC电路包括配置为将DAC代码信号解码为控制信号的解码器电路。
[0064] 3.根据权利要求2所述的ADC电路,其中,所述CM DAC电路配置为使用控制信号来控制DAC电流。
[0065] 4.根据权利要求2所述的ADC电路,其中,所述CM DAC电路包括多个电流源,并且其中,所述多个电流源中的至少一个或多个电流源配置为根据控制信号提供DAC电流。
[0066] 5.根据权利要求1所述的ADC电路,其中,所述CM DAC信号配置为通过第一级联电路(例如图4、图5中的432、532或其一部分)提供DAC电流,并且其中,DAC电流为差分电流。
[0067] 6.根据权利要求1所述的ADC电路,还包括误差电路,配置为提供基于DAC电流和输入电流之间差值而确定的电压误差信号,并且其中,误差电路包括用于开关,用于减少电压误差信号中的干扰。
[0068] 7.根据权利要求1所述的ADC电路,还包括取样保持(SH)电路,配置为从所述模拟输入信号生成取样输入信号。
[0069] 8.根据权利要求7所述的ADC电路,其中,所述跨导电路还配置为从所述取样输入信号生成输入电流。
[0070] 9.根据权利要求8所述的ADC电路,其中,所述跨导电路配置为通过第二级联电路(例如图4和图5中的432、532或其一部分)提供差分输入电流。
[0071] 10.根据权利要求1所述的ADC电路,其中,所述SAR电路配置为在多个SAR周期的最后一个周期生成数字输出信号。
[0072] 11.根据权利要求10所述的ADC电路,其中,所述SAR电路配置为在多个SAR周期的第一个周期生成包含中间代码的DAC代码信号。
[0073] 12.一种用于将模拟输入信号转换为数字输出信号的模数转换器(ADC)电路,包括:
[0074] 电流模式(CM)数模转换器(DAC)电路,配置为提供DAC电流;
[0075] 比较器电路,配置为响应于根据DAC电流和模拟输入信号确定的误差信号产生比较器信号;以及
[0076] 逐次逼近寄存器(SAR)电路,配置为响应于比较器信号生成DAC代码信号或数字输出信号中的至少一个,
[0077] 其中,所述CM DAC电路配置为使用DAC代码信号来控制DAC电流。
[0078] 为方便起见,下面以编号条款(1,2,3等)的方式描述本发明的各个例子和方面。这些描述仅作为示例,不构成对本发明的限制。数据和参考数字的标识仅作为例子及说明用途,以下编号条款并不受其限制。
[0079] 1.一种用于将模拟输入信号转换为数字输出信号的方法,所述方法包括:
[0080] 通过以下步骤在电流转换模式中操作逐次逼近模数转换器(ADC)电路:
[0081] 根据模拟输入信号产生输入电流;
[0082] 操作电流模式(CM)数模转换器(DAC)电路来提供DAC电流;
[0083] 响应于根据DAC电流和输入电流确定的误差信号生成比较器信号;以及
[0084] 接收比较器信号并生成DAC代码信号或数字输出信号中的至少一个,
[0085] 其中,操作CM DAC电路的步骤包括通过使用DAC代码信号来控制DAC电流。
[0086] 2.根据权利要求1所述的方法,其中,操作CM DAC电路的步骤包括将DAC代码信号解码为控制信号。
[0087] 3.根据权利要求2所述的方法,其中,操作CM DAC电路的步骤包括使用控制信号来控制DAC电流.
[0088] 4.根据权利要求2所述的方法,其中,操作CM DAC电路的步骤包括操作多个电流源,并且还包括根据控制信号操作多个电流源中的至少一个或多个电流源来提供DAC电流。
[0089] 5.根据权利要求2所述的方法,其中,操作CM DAC电路的步骤包括通过第一级联电路提供DAC电流,并且其中,DAC电流为差分电流。
[0090] 6.根据权利要求1所述的方法,还包括提供根据DAC电流和输入电流之间的差值确定的电压误差信号,并且其中,提供电压误差信号的步骤包括操作开关来减少电压误差信号中的干扰。
[0091] 7.根据权利要求1所述的方法,还包括从取样输入信号产生输入电流。
[0092] 为方便起见,下面以编号条款(1,2,3等)的方式描述本发明的各个例子和方面。这些描述仅作为示例,不构成对本发明的限制。数据和参考数字的标识仅作为例子及说明用途,以下编号条款并不受其限制。
[0093] 1.一种用于将模拟输入信号转换为数字输出信号的装置,所述装置包括:
[0094] 用于提供DAC电流的装置(例如图15的1510);
[0095] 用于根据所述模拟输入信号生成输出电流的装置(例如图15的1520);
[0096] 用于响应于根据DAC电流和输入电流确定的误差信号产生比较器信号的装置(例如图15的1530);
[0097] 用于接收比较器信号的装置(例如图15的1540);以及
[0098] 用于生成DAC代码信号或数字输出信号中的至少一个(例如图15的1540),[0099] 其中,所述CM DAC电路配置为使用DAC代码信号来控制DAC电流。
[0100] 2.根据权利要求1所述的装置,其中,所述用于提供DAC电流的装置包括用于将DAC代码信号解码为控制信号的装置。
[0101] 3.根据权利要求2所述的装置,其中,用于提供DAC电流的装置配置为使用控制信号来控制DAC电流。
[0102] 4.根据权利要求2所述的装置,其中,用于提供DAC电流的装置包括多个电流源,并且其中,所述多个电流源中的至少一个或多个电流源配置为根据控制信号提供DAC电流。
[0103] 5.根据权利要求1所述的装置,其中,用于提供DAC电流的装置配置为通过第一级联电路提供DAC电流,并且其中,DAC电流为差分电流。
[0104] 6.根据权利要求1所述的装置,还包括用于提供基于DAC电流和输入电流之间差值而确定的电压误差信号的装置,并且其中,用于提供电压误差信号的装置包括用于减少电压误差信号中的干扰的装置。
[0105] 7.根据权利要求1所述的装置,还包括用于从模拟输入信号生成取样输入信号的装置。
[0106] 8.根据权利要求7所述的装置,其中,用于根据模拟输入信号产生输入电流的装置配置为从取样输入信号产生输入电流。
[0107] 9.根据权利要求8所述的装置,其中,用于根据模拟输入信号产生输入电流的装置配置为通过第二级联电路提供差分输入电流。
[0108] 10.根据权利要求1所述的装置,其中,用于接收比较器信号和产生DAC代码信号或数字输出信号中至少一个信号的装置配置为在多个SAR周期的最后一个周期生成数字输出信号。
[0109] 11.根据权利要求10所述的装置,其中,用于产生DAC代码信号或数字输出信号中至少一个信号的装置配置为在多个SAR周期的第一个周期生成包含中间代码的DAC代码信号。
[0110] 12.一种用于将模拟输入信号转换为数字输出信号的装置,所述装置包括:
[0111] 用于提供DAC电流的装置(例如图14的1410);
[0112] 用于响应于根据DAC电流和模拟输入信号确定的误差信号产生比较器信号的装置(例如图14的1420);以及
[0113] 用于响应于比较器信号生成DAC代码信号或数字输出信号中的至少一个的装置(例如图14的1430),
[0114] 其中,用于提供DAC电流的装置配置为使用DAC代码信号来控制DAC电流。
[0115] 其它评论
[0116] 一方面,这里的条款来自于所附的独立权利要求或从属权利要求。一方面,任何条款(例如从属权利要求要求或独立权利要求)可以与其它的条款(例如从属权利要求要求或独立权利要求)相组合。一方面,权利要求可以包括在条款、语句、词组或段落中引用的一些或全部词语(例如步骤、操作、装置或组件)。一方面,权利要求可以包括在一个或多个条款、语句、词组或段落中引用的一些或全部词语。一方面,每个条款、语句、词组或段落中的一些词语可以删除。一方面,附加的词语或元件可以添加到条款、语句、词组或段落中。一方面,本发明可以在不利用这里所描述的一些组件、元件、功能或操作的情况下实施。一方面,本发明可以利用附加的指令、代码、装置、逻辑、组件来实现。
[0117] 一方面,这里描述的任何方法、指令、代码、装置、逻辑、组件、方框、模块或类似(例如软件或硬件)可以表示在附图中,这些附图(无论是否明显的示出)通过参考引入本说明书,并且这些附图(如果没有明示)可以添加到本说明书中而不会构成新内容。为了简洁,一些(但不是必须为全部)条款/描述/权利要求书明示在附图中,但是任何条款/描述/权利要求可以与类似这些附图的方式进行表示。例如,可以为方法的任何条款、语句或权利要求书绘制流程图,从而每个操作或步骤通过箭头连接到下一个操作或步骤。在另一个例子中,可以为具有功能装置(例如执行某个动作的装置)的任何条款、语句或权利要求书绘制方框图,从而每个功能装置可以表示为功能模块(例如,用于执行某个动作的模块)。
[0118] 本领域技术人员将会理解,例如这里所描述的各种示意性的方框、模块、元件、组件、方法、操作、步骤和算法的项目可以以硬件或硬件与软件组合的方式实现。
[0119] 为了表示硬件和软件的可交换性,例如各种示意性方框、模块、元件、组件、方法、操作、步骤和算法的项目已经就其功能进行了描述。这些功能实现为硬件还是软件取决于具体的应用以及整个系统的设计限制。本领域技术人员可以为每个具体应用以各种不同的方式实现所描述的功能。
[0120] 一方面,“装置”、方框、模块、元件、组件或处理器可以是用于执行一个或多个功能或操作的项目(例如,一个或多个方框、模块、元件、组件或处理器)。一方面,这种项目可以是装置、硬件或其一部分。在一个例子中,项目可以实现为用于执行功能或操作的一个或多个电路。电路可以包括一个或多个电路和/或逻辑。电路可以为模拟和/或数字。电路可以为电学和/或光学电路。电路可以包括晶体管。在一个例子中,一个或多个项目可以实现为处理系统(例如,数字信号处理器(DSP)、专用集成电路(ASIC)、现场可编程门阵列(FPGA)等)。在一个例子中,项目还可以包括这样的结构,其形式例如是用于执行功能或操作的指令,其中指令在机器可读媒介上或另一个设备上或其一部上编码或存储,其中,指令可以是软件、应用程序、子程序或其一部分。本领域技术人员将认识到如何实现指令、电路和处理系统。
[0121] 在一方面,术语“双极晶体管(BJT)”是指运行在使用电子和空穴承载电流的各种多端晶体管中的任何一种,包括但不限于n-p-n BJT、p-n-p BJT以及异质结BJT(HBT)。
[0122] 一方面,术语“场效应晶体管(FET)”可以指在半导体材料中控制电场以控制一种电荷载体的通道的形状从而控制传导性的多端晶体管,包括但不限于金属氧化物半导体场效应晶体管(MOSFET)、结型FET(JFET)、金属半导体FET(MESFET)、高电子迁移率晶体管(HEMT)、调制掺杂场效晶体管(MODFET)、绝缘栅双极晶体管(IGBT)、快速恢复外延二极管场效应晶体管(FREDFET)和离子敏场效晶体管(ISFET)。
[0123] 一方面,术语“基极”、“发射极”和“集电极”是指晶体管的三端,可以分别指双极结型晶体管的基极、发射极和集电极,也可以指场效应晶体管的栅极、源极和漏极,反之亦然。在另一方面,术语“栅极”、“源极”和“漏极”可以分别指晶体管的基极、发射极和集电极,反之亦然。
[0124] 除非另有所指,本发明描述的各种配置可以实现在硅、硅化锗(SiGe)、砷化镓(GaAs)、磷化铟(InP)或铟镓磷化物(InGaP)基底,或任何其它合适的基底上。
[0125] 除非明确限定,元件的单数形式并非指“一个并且仅为一个”,而是“一个或多个”。例如,时钟信号可以指一个或多个时钟信号,控制信号可以指一个或多个控制信号,输入信号可以指一个或多个输入信号,输出信号可以指一个或多个输出信号,并且信号可以指差分电压信号。
[0126] 除非明确限定,用语“一些”是指一个或多个。男性代词(例如“他”包括妇性和动物指代),并且反之亦然。如果存在标题和子标题,则仅为方便目的并且用于限定本发明。
[0127] 单词“示意性”用于表示“用于示例或描述”。这里描述为“示例”的任何方面或设计不能理解为比其它方面或设计更为优选。一方面,这里描述的各个替代配置和操作可以认为至少是等同的。
[0128] 例如“方面”的用语并非暗示这一方面对于本发明而言是基础性的或者这个方面应用于本发明的所有配置。与一方面有关的描述可适用于所有的配置或者一个或多个配置。一个方面可以提供一个或多个例子。例如一个方面的用语可以表示一个或多个方面,反之亦然。例如“实施例”的用语并不暗示这个实施例对于本发明是基础性的或者这个实施例适用于本发明的所有配置。与一个实施例相关的描述可适用于所有实施例或者一个或多个实施例。一个实施例可以提供一个或多个例子。例如一个实施例的用语可以指一个或多个实施例,反之亦然。例如“配置”的用语并非指该配置对于本发明是基础性的或者该配置适用于本发明的所有配置。与配置相关的描述可以适用于所有的配置,或者一个或多个配置。一个配置可以提供一个或多个例子。例如配置的用语可以指一个或多个配置,反之亦然。
[0129] 在本发明的一个方面,当动作或功能描述为被某个项目执行时(例如接收、确定、提供、产生、转换、显示、通知、接受、选择、控制、发射、报告、发送或任何其它动作或功能),应当理解为这种动作或功能由该项目直接或间接执行。在一个方面,当模块描述为执行一个动作时,模块可以理解为间接地执行动作,例如,通过辅助、促进或导致这样的动作。
[0130] 在一个方面,除非另有陈述,所有的测量、数值、比率、位置、幅度、尺寸和其它在本说明书及权利要求书中列出的规格均是大概值而非准确值。一方面,它们旨在具有与相关功能和本领域惯例相一致的合理范围。
[0131] 一方面,术语“耦合”或类似用语可以指直接连接。另一方面,术语“耦合”或类似用语可以指间接耦合。
[0132] 本说明书中使用的用语“顶部”、“底部”、“前部”、“后部”应当理解为随意的参照系,而不是常规的重力参照系。这样,顶部表面、底部表面、前表面、后表面可以在重力参照系中向上、向下、对角或水平方向延伸。
[0133] 可以对各个项目以不同方式进行设置(例如以不同顺序或不同方式分割),这并不脱离本发明的范围。在本发明的一个方面,权利要求书中引用的元件可以由一个或多个模块或子模块执行。
[0134] 应当理解,所公开的具体顺序或步骤、操作或处理的层次是示例方式的描述。基于设计偏好,可以对这些层次进行重新设定。一些步骤、操作或处理可以同时执行。所附的方法权利要求表示特定顺序中的各种步骤、操作或处理的动作,其并非指限定为所表述的特定顺序或层次。
[0135] 本说明意在使得本领域技术人员实施这里所描述的本发明的各个方面。本说明书提供本发明的各个例子,但是并不限于这些例子。各种修改对于本领域技术人员是透明的,并且这里所定义的一般原则可以适用于其它方面。
[0136] 与本说明书的各个方面的元素相等同的、对于本领域技术人员已知或将会已知的结构和功能引入本文并包括在权利要求书的范围中。另外,本说明书没有公开的内容视为已经贡献给大众,无论该描述是否已经在权利要求书中公开引用。除非明确使用用语“用于……的装置”描述元素,否则不应当对权利要求中的元素基于35 U.S.C.§112第6段的规定进行解释,或者在方法权利要求书中,使用“用于……的步骤”对元素进行引用。另外,对于使用“包括”、“具有”或类似用语的情况,应该理解为包括的含义,这类似于用于权利要求的过渡用词“由........组成”的解释。
[0137] 本说明书的标题、背景技术、发明内容、附图说明和摘要引入本说明书并且作为本说明书的示例,而非限制性描述。应当理解,它们并非用于限制权利要求书的范围或含义。另外,在具体实施例部分,可以看出,描述提供了示例性的例子,并且为了简化描述,在各个实施例中将各个特征进行编组。这种描述方法不应当解释为所主张的技术比每个权利要求书中明确描述的特征需要更多的特征。正如所附权利要求书所反应的,本发明基于少于单个公开的配置或操作的全部特征。权利要求书引入具体实施例,而每个权利要求单独作为一个主张权利的主题。
[0138] 权利要求书并不旨在限制本发明的方面,而是与语言上表述的范围一致并且包含所有的等同实施方式。但是,任何权利要求都不包括不满足35 U.S.C.§101,102,或103规定的发明主题,也不应当以此方式进行解释。任何无意的包含都予以放弃。
高效检索全球专利

IPRDB是专利检索,专利查询,专利分析-国家发明专利查询检索分析平台,是提供专利分析,专利查询专利检索等数据服务功能的知识产权数据服务商。

我们的产品包含105个国家的1.26亿组数据,专利查询、专利分析

电话:13651749426

侵权分析

IPRDB的侵权分析产品是IPRDB结合多位一线专利维权律师和专利侵权分析师的智慧,开发出来的一款特色产品,也是市面上唯一一款帮助企业研发人员、科研工作者、专利律师、专利分析师快速定位侵权分析的产品,极大的减少了用户重复工作量,提升工作效率,降低无效或侵权分析的准入门槛。

立即试用